Preliminary implementation of Hack computer simulation
Added two additional VHDL units 'src/ROM.vhdl' and "src/computer_tb.vhdl", both of which are only meant for simulation purposes. The ROM unit loads a text file specified by the generic property "program_file" and acts as a 32K ROM addressed by its line number (starting with zero). The computer_tb unit puts everything together (cpu, ROM, and ram16k) and allows the user to pass a program file via a generic property. This program file must contain less than 65535 lines containing 16 zeros or ones (eg. Something generated by the assembler given for the Nand to Tetris course). The directory "src/asm" was added containing a couple test programs in both hack assembly and in hack machine language. Additionally, various gtkwave save files (in 'src/wave/gtkw') were added for use with each hack program given, as well as two template gtkwave save files for use with new programs. See the README.md file for more details on running simulations with computer_tb, as well as various other details. TODO: implement memory maps for screen and keyboard and handle VGA output Signed-off-by: Collin J. Doering <collin.doering@rekahsoft.ca>
This commit is contained in:
parent
d154f617c4
commit
0c737a29d9
1
.gitignore
vendored
1
.gitignore
vendored
@ -1 +1,2 @@
|
||||
*~
|
||||
.*
|
||||
|
139
README.md
139
README.md
@ -1,38 +1,157 @@
|
||||
# Implementation of Hack computer architecture in VHDL
|
||||
# Implementation of Hack Computer Architecture in VHDL
|
||||
|
||||
## Features
|
||||
|
||||
* Follows a similar structure to the implementation describe by the nand to tetris book
|
||||
* Follows a similar structure to the implementation describe by the *Nand to Tetris* book
|
||||
* Uses open-source tools wherever possible
|
||||
|
||||
## Tools
|
||||
|
||||
The creation of this software was made possible by the following open source tools and
|
||||
libraries, and most notably, *Noam Nisan*, and *Shimon Schocken* who created the *Nand to
|
||||
tetris* course and accompanying book "The Elements of Computing Systems, Building a Modern
|
||||
Tetris* course and accompanying book "The Elements of Computing Systems, Building a Modern
|
||||
Computer from First Principe's".
|
||||
|
||||
* [Gnu Emacs][], because there is no place like home; and no greater editor!
|
||||
* [GHDL][], for VHDL compilation/simulation.
|
||||
* [GtkWave][], for viewing waveform dumps (vcd, fst, etc..)
|
||||
|
||||
## License
|
||||
|
||||
This project is licensed under the [GPLv3][]. Please see the LICENSE file for full details.
|
||||
|
||||
## Building
|
||||
## Import VHDL Sources
|
||||
|
||||
$ cd src
|
||||
$ ghdl -i --workdir=work *.vhdl
|
||||
$ ghdl -m --workdir=work <testbench> # simulate test bench of your choosing (eg. cpu_tb)
|
||||
|
||||
All units can then be built by building the top most unit, computer_tb, as follows.
|
||||
|
||||
$ ghdl -m --workdir=work computer_tb.vhdl
|
||||
|
||||
## Simulation
|
||||
|
||||
For every VHDL entity defined in `src` there is a accompanying test bench. The test bench has
|
||||
`_tb` appended to the end of the entities file name (Eg. cpu.vhdl and cpu_tb.vhdl). Each test
|
||||
bench consists of test data derived from the *Nand to Tetris* course. The simulated clock
|
||||
(defined in `src/clock.vhdl` is set to a frequency of *1 GHz*, but this is configurable though
|
||||
use of a generic property of the clock entity.
|
||||
|
||||
To run a test bench for a chip run the following:
|
||||
|
||||
$ cd src
|
||||
$ ghdl -r --workdir=work adder_tb --vcd=wave/vcd/adder.vcd
|
||||
|
||||
The vcd output `wave/vcd/adder.vcd` can then be opened with [GtkWave][]. For convenience, pre-set
|
||||
gtkwave views have been set up and can be loaded by using *File->Read Save File*.
|
||||
|
||||
There are two exceptions to the earlier statement about all VHDL entities having accompanying
|
||||
test benches. Firstly there is a ROM entity for use in simulation only! It allows a text file
|
||||
to act as a ROM chip, addressed by its line numbers. There is also an additional test bench
|
||||
`src/computer_tb.vhdl`. This test bench simulates the computer as a whole, utilizing the ROM,
|
||||
cpu, and ram16k entities. It has a generic property `program_file` that can be set to a *.hack*
|
||||
file of your choosing, or if none is given it will use `src/asm/Fib.hack`. Example:
|
||||
|
||||
$ cd src
|
||||
$ ghdl -r computer_tb -gprogram_file=asm/MemoryFill.hack --stop-time=10ns --vcd=wave/vcd/computer-memory-fill.vcd
|
||||
$ vcd2fst wave/vcd/computer-memory-fill.vcd wave/vcd/computer-memory-fill.fst
|
||||
|
||||
This will run a simulation for 10ns (for computer_tb a stop-time is required otherwise the
|
||||
simulation will run forever) and output a vcd dump to `src/wave/vcd/computer-memory-fill.vcd`.
|
||||
See `ghdl --help` and the [GHDL][] man page for more details on its command line options.
|
||||
|
||||
Another example, running the default `src/asm/Fib.hack` program:
|
||||
|
||||
$ ghdl -r computer_tb --stop-time=750ns --vcd=wave/vcd/computer-fib.vcd
|
||||
$ vcd2fst wave/vcd/computer-fib.vcd wave/vcd/computer-fib.fst
|
||||
|
||||
Note that converting the vcd file to an fst file using vcd2fst is sometimes necessary when the
|
||||
simulations become large. This mostly is the case with the computer_tb unit.
|
||||
|
||||
### Caveats
|
||||
|
||||
Currently the computer_tb unit doesn't allow keyboard input or show monitor output; that is,
|
||||
the memory maps are unimplemented as simulating the physical devices in VHDL is challenging,
|
||||
and the implementation of them on actual hardware is dependent on the FPGA board being used.
|
||||
The address ranges of the memory maps however, exist and are read/writeable as you would
|
||||
expect.
|
||||
|
||||
The computer_tb unit also doesn't allow one to reset the system without explicitly modifying
|
||||
the vhdl code of computer_tb. This could be fixed by implementing computer as its own entity
|
||||
with one input (reset) for testing purposes. Then multiple test benches could be written to
|
||||
test various aspects of the machine. Better yet, similar to how testing is done in the *Nand to
|
||||
Tetris* course, we could have another generic property on the test bench to specify a 'compare
|
||||
file' which could be used to compare the output of various signals from the implementation when
|
||||
running a given program. This however, is currently not implemented.
|
||||
|
||||
Testing the output of a simulation (using computer_tb) of a given hack program also is not
|
||||
implemented and is somewhat involved.
|
||||
|
||||
The primitive screen could be implemented by doing txt dumps that represent the memory map at a
|
||||
given point in time. Then an accompanying program could be written to parse this data and
|
||||
generate a black and white image. Though this is not real time it would allow one to see some
|
||||
visual feedback directly from a hack program using this simulation. I am quite new the VHDL, so
|
||||
perhaps its easier to allow keyboard input during a simulation, but for the time being both the
|
||||
keyboard and screen will remain unimplemented for simulation, though the simulator can still be
|
||||
used to verify a hack program that affects screen works by looking at its writes to RAM.
|
||||
However, when a keyboard is expected, this simulator runs as if no key on the keyboard is ever
|
||||
pressed.
|
||||
|
||||
Additionally note that the RAM being used in simulation is RAM16k and does not include the
|
||||
memory for the screen and keyboard memory maps. If any RAM address >= 0x4000 (or 16383 in
|
||||
decimal), is read it will return 0x000 and if written will not retain its value. This I hope to
|
||||
fix in the coming week, so viewing what a program does to the memory map and keyboards becomes
|
||||
easier/feasible in [GtkWave][].
|
||||
|
||||
TLDR: computer_tb can be used to simulate any .hack program, though there is no screen or
|
||||
keyboard connected, and the reset button during simulation unless the VHDL code of computer_tb
|
||||
is modified to so.
|
||||
|
||||
## Issues
|
||||
|
||||
Currently the chips called ROM, Screen, Keyboard, Memory and Computer in the *Nand to Tetris*
|
||||
book are not implemented. The ROM chip is simply a RAM16k chip with no write capability (the
|
||||
interface doesn't require a load bit). Computer and Memory are simply compositions of chips,
|
||||
leaving the hard work left to implementing the screen and keyboard. I aim to complete this
|
||||
via vga for the screen and PS2 for the keyboard, though I'm still in the process of research.
|
||||
When simulating the computer using the computer_tb unit, the -g command line switch is only
|
||||
available in very recent versions of [GHDL][] (later then 2015-03-07; see
|
||||
[ticket(http://sourceforge.net/p/ghdl-updates/tickets/37/?limit=25)]). Thus to run various
|
||||
.hack programs in the simulation, one must edit the source file referenced in
|
||||
`src/computer_tb.vhdl`.
|
||||
|
||||
When opening a vcd/fst dump of a program run in simulation using computer_tb, two template
|
||||
[GtkWave][] save files are provided for convenience. These templates have the signals for the
|
||||
clock, cpu, alu, registers A and D, as well as RAM[0] through RAM[100+]. This makes viewing the
|
||||
output of a simulation easier, but in recent versions of [GHDL][], its generates different
|
||||
labels when processing 'for ... generate' statements. To address this issue two [GtkWave][]
|
||||
save files are provided, `src/wave/gtkw/computer.gtkw` is for the older version of [GtkWave][]
|
||||
and `src/wave/gtkw/computer-ghdl-new.gtkw` is for the newer version (later then 2015-03-07).
|
||||
|
||||
## Road Map
|
||||
|
||||
Acquire an FPGA so that I can implement this design on real hardware. Currently I've been
|
||||
leaning towards a
|
||||
[Nexys 4 DDR](http://www.digilentinc.com/Products/Detail.cfm?NavPath=2,400,1338&Prod=NEXYS4DDR).
|
||||
Once I have an FPGA for testing I hope to implement the following features. Though it would be nice to
|
||||
implement the simulation of the screen and keyboard but this seems nearly unfeasible, and a
|
||||
better use of time would be to implement the design on real hardware.
|
||||
|
||||
* VGA output and associated memory map (perhaps find a backwards compatible way to add color to
|
||||
the system, support various VGA modes, etc..)
|
||||
* Keyboard input and associated memory map (through USB or PS2)
|
||||
* Use DDR memory or on-board FPGA RAM
|
||||
* Use some onboard nonvolatile memory to store the ROM
|
||||
* Implement an OS to be put on the ROM which can load programs, manage resources, etc..
|
||||
|
||||
### Wish List and Ideas for Extension
|
||||
|
||||
* Modify the add16 unit to avoid
|
||||
[propagation delays](http://en.wikipedia.org/wiki/Propagation_delay) by passing a carry
|
||||
though out the addition of each bit
|
||||
* Consider backwards compatible enhancements to the CPU; examples:
|
||||
- Make virtual registers internal registers
|
||||
- Make CPU 32 bit with backwards compatible 16 bit mode
|
||||
- Use bank switching to increase memory of 16 bit system
|
||||
- Implement a Memory Management Unit (MMU)
|
||||
- Others...
|
||||
|
||||
[Gnu Emacs]: http://www.gnu.org/software/emacs/
|
||||
[GPLv3]: https://www.gnu.org/licenses/gpl.html
|
||||
[GHDL]: http://ghdl.free.fr/
|
||||
[GtkWave]: http://gtkwave.sourceforge.net/
|
||||
|
2
src/.gitignore
vendored
2
src/.gitignore
vendored
@ -44,3 +44,5 @@ ram64_tb
|
||||
ram64
|
||||
ram8_tb
|
||||
ram8
|
||||
|
||||
computer_tb
|
||||
|
96
src/ROM.vhdl
Normal file
96
src/ROM.vhdl
Normal file
@ -0,0 +1,96 @@
|
||||
-- (C) Copyright Collin J. Doering 2015
|
||||
--
|
||||
-- This program is free software: you can redistribute it and/or modify
|
||||
-- it under the terms of the GNU General Public License as published by
|
||||
-- the Free Software Foundation, either version 3 of the License, or
|
||||
-- (at your option) any later version.
|
||||
--
|
||||
-- This program is distributed in the hope that it will be useful,
|
||||
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
|
||||
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
|
||||
-- GNU General Public License for more details.
|
||||
--
|
||||
-- You should have received a copy of the GNU General Public License
|
||||
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
|
||||
|
||||
-- File: ROM.vhdl
|
||||
-- Author: Collin J. Doering <collin.doering@rekahsoft.ca>
|
||||
-- Date: May 22, 2015
|
||||
-- Description: ROM chip which reads a text file containing 16 bit binary cpu
|
||||
-- instructions per line and emulates a ROM. The first line
|
||||
-- of the text file correspond to ROM address 0x0, and every line
|
||||
-- there after refers to one plus the address of the previous line.
|
||||
-- The file can contain a maxiumum of (2^15 - 1) lines, and if the
|
||||
-- last line number `n` of the file is less then the maximum,
|
||||
-- every memory location from (n - 1) to the maximum contains 0x0000.
|
||||
|
||||
library IEEE;
|
||||
use IEEE.std_logic_1164.all;
|
||||
use IEEE.numeric_std.all;
|
||||
use std.textio.all;
|
||||
|
||||
entity ROM is
|
||||
generic (program_file : string := "asm/Fib.hack");
|
||||
port (address : in std_logic_vector(14 downto 0);
|
||||
clk : in std_logic;
|
||||
cout : out std_logic_vector(15 downto 0));
|
||||
end ROM;
|
||||
|
||||
architecture ROM_arch of ROM is
|
||||
type rom_type is array (integer range <>) of std_logic_vector(15 downto 0);
|
||||
begin
|
||||
-- Load rom_data array with program data
|
||||
process (clk)
|
||||
function to_string (arg : std_logic_vector) return string is
|
||||
variable result : string (1 to arg'length);
|
||||
variable v : std_logic_vector (result'range) := arg;
|
||||
begin
|
||||
for i in result'range loop
|
||||
case v(i) is
|
||||
when 'U' =>
|
||||
result(i) := 'U';
|
||||
when 'X' =>
|
||||
result(i) := 'X';
|
||||
when '0' =>
|
||||
result(i) := '0';
|
||||
when '1' =>
|
||||
result(i) := '1';
|
||||
when 'Z' =>
|
||||
result(i) := 'Z';
|
||||
when 'W' =>
|
||||
result(i) := 'W';
|
||||
when 'L' =>
|
||||
result(i) := 'L';
|
||||
when 'H' =>
|
||||
result(i) := 'H';
|
||||
when '-' =>
|
||||
result(i) := '-';
|
||||
end case;
|
||||
end loop;
|
||||
return result;
|
||||
end;
|
||||
|
||||
|
||||
variable program_line : line;
|
||||
variable program_line_vec : bit_vector(15 downto 0);
|
||||
variable program_line_num : integer := 0;
|
||||
file program : text is in program_file;
|
||||
|
||||
variable rom_data : rom_type(0 to 65535) := (others => "0000000000000000");
|
||||
variable has_not_loaded : boolean := true;
|
||||
begin
|
||||
while (has_not_loaded and (not endfile(program))) loop
|
||||
readline (program, program_line);
|
||||
read (program_line, program_line_vec);
|
||||
rom_data(program_line_num) := to_stdLogicVector(program_line_vec);
|
||||
assert false report ("line " & integer'image(program_line_num) & " containing '" & to_string(rom_data(program_line_num)) & " processed.") severity note;
|
||||
program_line_num := program_line_num + 1;
|
||||
end loop;
|
||||
if has_not_loaded then
|
||||
cout <= rom_data(0);
|
||||
has_not_loaded := false;
|
||||
elsif (falling_edge(clk)) then
|
||||
cout <= rom_data(to_integer(unsigned(address)));
|
||||
end if;
|
||||
end process;
|
||||
end ROM_arch;
|
77
src/asm/Fib.asm
Normal file
77
src/asm/Fib.asm
Normal file
@ -0,0 +1,77 @@
|
||||
// (C) Copyright Collin J. Doering 2015
|
||||
//
|
||||
// This program is free software: you can redistribute it and/or
|
||||
// modify it under the terms of the GNU General Public License as
|
||||
// published by the Free Software Foundation, either version 3 of
|
||||
// the License, or (at your option) any later version.
|
||||
//
|
||||
// This program is distributed in the hope that it will be useful,
|
||||
// but WITHOUT ANY WARRANTY; without even the implied warranty of
|
||||
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
|
||||
// General Public License for more details.
|
||||
//
|
||||
// You should have received a copy of the GNU General Public License
|
||||
// along with this program. If not, see <http://www.gnu.org/licenses/>.
|
||||
|
||||
// File: Fib.asm
|
||||
// Author: Collin J. Doering <collin.doering@rekahsoft.ca>
|
||||
// Date: Jun 4, 2015
|
||||
|
||||
// Fill RAM[3] to RAM[28] with the first 25 Fibonacci numbers
|
||||
|
||||
// Use R0 and R1 as pointers to the last two Fibonacci numbers locations
|
||||
@3
|
||||
D=A
|
||||
@R0
|
||||
M=D
|
||||
|
||||
@4
|
||||
D=A
|
||||
@R1
|
||||
M=D
|
||||
|
||||
// Use R2 as pointer the current Fibonacci number write location
|
||||
@5
|
||||
D=A
|
||||
@R2
|
||||
M=D
|
||||
|
||||
// Put 0 and 1 in R3 and R4 respectively
|
||||
@R3
|
||||
M=0
|
||||
@R4
|
||||
M=1
|
||||
|
||||
(LOOP)
|
||||
@R0
|
||||
A=M
|
||||
D=M
|
||||
@R2
|
||||
A=M
|
||||
M=D
|
||||
|
||||
@R1
|
||||
A=M
|
||||
D=M
|
||||
@R2
|
||||
A=M
|
||||
M=D+M
|
||||
|
||||
@R1
|
||||
AD=M
|
||||
@R0
|
||||
M=D
|
||||
@R1
|
||||
M=D+1
|
||||
@R2
|
||||
M=M+1
|
||||
(LOOPCOND)
|
||||
@27
|
||||
D=A
|
||||
@R2
|
||||
D=D-M
|
||||
@LOOP
|
||||
D;JGE
|
||||
(END)
|
||||
@END
|
||||
0;JMP
|
44
src/asm/Fib.hack
Normal file
44
src/asm/Fib.hack
Normal file
@ -0,0 +1,44 @@
|
||||
0000000000000011
|
||||
1110110000010000
|
||||
0000000000000000
|
||||
1110001100001000
|
||||
0000000000000100
|
||||
1110110000010000
|
||||
0000000000000001
|
||||
1110001100001000
|
||||
0000000000000101
|
||||
1110110000010000
|
||||
0000000000000010
|
||||
1110001100001000
|
||||
0000000000000011
|
||||
1110101010001000
|
||||
0000000000000100
|
||||
1110111111001000
|
||||
0000000000000000
|
||||
1111110000100000
|
||||
1111110000010000
|
||||
0000000000000010
|
||||
1111110000100000
|
||||
1110001100001000
|
||||
0000000000000001
|
||||
1111110000100000
|
||||
1111110000010000
|
||||
0000000000000010
|
||||
1111110000100000
|
||||
1111000010001000
|
||||
0000000000000001
|
||||
1111110000110000
|
||||
0000000000000000
|
||||
1110001100001000
|
||||
0000000000000001
|
||||
1110011111001000
|
||||
0000000000000010
|
||||
1111110111001000
|
||||
0000000000011011
|
||||
1110110000010000
|
||||
0000000000000010
|
||||
1111010011010000
|
||||
0000000000010000
|
||||
1110001100000011
|
||||
0000000000101010
|
||||
1110101010000111
|
120
src/asm/Max.asm
Normal file
120
src/asm/Max.asm
Normal file
@ -0,0 +1,120 @@
|
||||
// (C) Copyright Collin J. Doering 2015
|
||||
//
|
||||
// This program is free software: you can redistribute it and/or
|
||||
// modify it under the terms of the GNU General Public License as
|
||||
// published by the Free Software Foundation, either version 3 of
|
||||
// the License, or (at your option) any later version.
|
||||
//
|
||||
// This program is distributed in the hope that it will be useful,
|
||||
// but WITHOUT ANY WARRANTY; without even the implied warranty of
|
||||
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
|
||||
// General Public License for more details.
|
||||
//
|
||||
// You should have received a copy of the GNU General Public License
|
||||
// along with this program. If not, see <http://www.gnu.org/licenses/>.
|
||||
|
||||
// File: Max.asm
|
||||
// Author: Collin J. Doering <collin.doering@rekahsoft.ca>
|
||||
// Date: Jun 4, 2015
|
||||
|
||||
// Adaption of the ComputerMax.tst and Max.hack provided by the
|
||||
// Nand-to-Tetris course. This was done because the test script sets
|
||||
// the value of various locations in RAM before running some number
|
||||
// of cycles, checking the output at each cycle and then repeating.
|
||||
// Pre-imaging the RAM, would allow this to be done in VHDLl thought
|
||||
// this is not hard, is not immediately beneficial for this project,
|
||||
// as its goal is to create a physical implementation on FPGA. So
|
||||
// instead, we dissemble the Max.hack program and make slight
|
||||
// modifications to set the values needed in RAM. We then can run
|
||||
// the program using the computer_tb unit and ghdl, and assess the
|
||||
// wave output.
|
||||
|
||||
(DATA1)
|
||||
@3
|
||||
D=A
|
||||
@0
|
||||
M=D
|
||||
@5
|
||||
D=A
|
||||
@1
|
||||
M=D
|
||||
|
||||
@DATA2
|
||||
D=A
|
||||
@2
|
||||
M=D
|
||||
|
||||
@PROC
|
||||
0;JMP
|
||||
(DATA2)
|
||||
@23456
|
||||
D=A
|
||||
@0
|
||||
M=D
|
||||
@12345
|
||||
D=A
|
||||
@1
|
||||
M=D
|
||||
|
||||
@DATA3
|
||||
D=A
|
||||
@2
|
||||
M=D
|
||||
|
||||
@PROC
|
||||
0;JMP
|
||||
(DATA3)
|
||||
@65432
|
||||
D=A
|
||||
@0
|
||||
M=D
|
||||
@54321
|
||||
D=A
|
||||
@1
|
||||
M=D
|
||||
|
||||
@END
|
||||
D=A
|
||||
@2
|
||||
M=D
|
||||
|
||||
@PROC
|
||||
0;JMP
|
||||
|
||||
(PROC)
|
||||
@0
|
||||
D=M
|
||||
@1
|
||||
D=D-M
|
||||
@LARGER
|
||||
D;JGT
|
||||
@SMALLEROREQUAL
|
||||
0;JMP
|
||||
(LARGER)
|
||||
@3
|
||||
M=1
|
||||
|
||||
@0
|
||||
D=M
|
||||
@4
|
||||
M=D
|
||||
|
||||
@2
|
||||
A=M
|
||||
0;JMP
|
||||
(SMALLEROREQUAL)
|
||||
@3
|
||||
M=0
|
||||
|
||||
@1
|
||||
D=M
|
||||
@4
|
||||
M=D
|
||||
|
||||
@2
|
||||
A=M
|
||||
0;JMP
|
||||
|
||||
(END)
|
||||
@END
|
||||
0;JMP
|
70
src/asm/Max.hack
Normal file
70
src/asm/Max.hack
Normal file
@ -0,0 +1,70 @@
|
||||
0000000000000011
|
||||
1110110000010000
|
||||
0000000000000000
|
||||
1110001100001000
|
||||
0000000000000101
|
||||
1110110000010000
|
||||
0000000000000001
|
||||
1110001100001000
|
||||
0000000000001110
|
||||
1110110000010000
|
||||
0000000000000010
|
||||
1110001100001000
|
||||
0000000000101010
|
||||
1110101010000111
|
||||
0101101110100000
|
||||
1110110000010000
|
||||
0000000000000000
|
||||
1110001100001000
|
||||
0011000000111001
|
||||
1110110000010000
|
||||
0000000000000001
|
||||
1110001100001000
|
||||
0000000000011100
|
||||
1110110000010000
|
||||
0000000000000010
|
||||
1110001100001000
|
||||
0000000000101010
|
||||
1110101010000111
|
||||
0000000000010000
|
||||
1110110000010000
|
||||
0000000000000000
|
||||
1110001100001000
|
||||
0000000000010001
|
||||
1110110000010000
|
||||
0000000000000001
|
||||
1110001100001000
|
||||
0000000001000100
|
||||
1110110000010000
|
||||
0000000000000010
|
||||
1110001100001000
|
||||
0000000000101010
|
||||
1110101010000111
|
||||
0000000000000000
|
||||
1111110000010000
|
||||
0000000000000001
|
||||
1111010011010000
|
||||
0000000000110010
|
||||
1110001100000001
|
||||
0000000000111011
|
||||
1110101010000111
|
||||
0000000000000011
|
||||
1110111111001000
|
||||
0000000000000000
|
||||
1111110000010000
|
||||
0000000000000100
|
||||
1110001100001000
|
||||
0000000000000010
|
||||
1111110000100000
|
||||
1110101010000111
|
||||
0000000000000011
|
||||
1110101010001000
|
||||
0000000000000001
|
||||
1111110000010000
|
||||
0000000000000100
|
||||
1110001100001000
|
||||
0000000000000010
|
||||
1111110000100000
|
||||
1110101010000111
|
||||
0000000001000100
|
||||
1110101010000111
|
42
src/asm/MemoryFill.asm
Normal file
42
src/asm/MemoryFill.asm
Normal file
@ -0,0 +1,42 @@
|
||||
// (C) Copyright Collin J. Doering 2015
|
||||
//
|
||||
// This program is free software: you can redistribute it and/or
|
||||
// modify it under the terms of the GNU General Public License as
|
||||
// published by the Free Software Foundation, either version 3 of
|
||||
// the License, or (at your option) any later version.
|
||||
//
|
||||
// This program is distributed in the hope that it will be useful,
|
||||
// but WITHOUT ANY WARRANTY; without even the implied warranty of
|
||||
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
|
||||
// General Public License for more details.
|
||||
//
|
||||
// You should have received a copy of the GNU General Public License
|
||||
// along with this program. If not, see <http://www.gnu.org/licenses/>.
|
||||
|
||||
// File: MemoryFill.asm
|
||||
// Author: Collin J. Doering <collin.doering@rekahsoft.ca>
|
||||
// Date: Jun 4, 2015
|
||||
|
||||
// Labels RAM[0] through RAM[16383] with 0, 1, 2, ..., 16383
|
||||
|
||||
@0
|
||||
M=1
|
||||
(LOOP)
|
||||
@16383
|
||||
D=A
|
||||
@0
|
||||
D=D-M
|
||||
@END
|
||||
D;JEQ
|
||||
|
||||
@0
|
||||
AD=M
|
||||
M=D
|
||||
|
||||
@0
|
||||
M=D+1
|
||||
@LOOP
|
||||
0;JMP
|
||||
(END)
|
||||
@END
|
||||
0;JMP
|
17
src/asm/MemoryFill.hack
Normal file
17
src/asm/MemoryFill.hack
Normal file
@ -0,0 +1,17 @@
|
||||
0000000000000000
|
||||
1110111111001000
|
||||
0011111111111111
|
||||
1110110000010000
|
||||
0000000000000000
|
||||
1111010011010000
|
||||
0000000000001111
|
||||
1110001100000010
|
||||
0000000000000000
|
||||
1111110000110000
|
||||
1110001100001000
|
||||
0000000000000000
|
||||
1110011111001000
|
||||
0000000000000010
|
||||
1110101010000111
|
||||
0000000000001111
|
||||
1110101010000111
|
29
src/asm/Simple.asm
Normal file
29
src/asm/Simple.asm
Normal file
@ -0,0 +1,29 @@
|
||||
// (C) Copyright Collin J. Doering 2015
|
||||
//
|
||||
// This program is free software: you can redistribute it and/or
|
||||
// modify it under the terms of the GNU General Public License as
|
||||
// published by the Free Software Foundation, either version 3 of
|
||||
// the License, or (at your option) any later version.
|
||||
//
|
||||
// This program is distributed in the hope that it will be useful,
|
||||
// but WITHOUT ANY WARRANTY; without even the implied warranty of
|
||||
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
|
||||
// General Public License for more details.
|
||||
//
|
||||
// You should have received a copy of the GNU General Public License
|
||||
// along with this program. If not, see <http://www.gnu.org/licenses/>.
|
||||
|
||||
// File: Simple.asm
|
||||
// Author: Collin J. Doering <collin.doering@rekahsoft.ca>
|
||||
// Date: Jun 4, 2015
|
||||
|
||||
// Sets RAM[0] to 0, RAM[1] to 1 and RAM[2] to 2, ending with an infinite loop
|
||||
|
||||
@0
|
||||
D=A
|
||||
@1
|
||||
D=A
|
||||
@2
|
||||
D=A
|
||||
@0
|
||||
0;JMP
|
8
src/asm/Simple.hack
Normal file
8
src/asm/Simple.hack
Normal file
@ -0,0 +1,8 @@
|
||||
0000000000000000
|
||||
1110110000010000
|
||||
0000000000000001
|
||||
1110110000010000
|
||||
0000000000000010
|
||||
1110110000010000
|
||||
0000000000000000
|
||||
1110101010000111
|
78
src/computer_tb.vhdl
Normal file
78
src/computer_tb.vhdl
Normal file
@ -0,0 +1,78 @@
|
||||
-- (C) Copyright Collin J. Doering 2015
|
||||
--
|
||||
-- This program is free software: you can redistribute it and/or modify
|
||||
-- it under the terms of the GNU General Public License as published by
|
||||
-- the Free Software Foundation, either version 3 of the License, or
|
||||
-- (at your option) any later version.
|
||||
--
|
||||
-- This program is distributed in the hope that it will be useful,
|
||||
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
|
||||
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
|
||||
-- GNU General Public License for more details.
|
||||
--
|
||||
-- You should have received a copy of the GNU General Public License
|
||||
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
|
||||
|
||||
-- File: computer_tb.vhdl
|
||||
-- Author: Collin J. Doering <collin.doering@rekahsoft.ca>
|
||||
-- Date: May 22, 2015
|
||||
|
||||
library IEEE;
|
||||
use IEEE.std_logic_1164.all;
|
||||
use std.textio.all;
|
||||
|
||||
entity computer_tb is
|
||||
generic (program_file : string := "asm/Fib.hack");
|
||||
end computer_tb;
|
||||
|
||||
architecture computer_tb_arch of computer_tb is
|
||||
component clock
|
||||
generic (freq : real := 1000000000.0);
|
||||
port (finish : in std_logic;
|
||||
cout : out std_logic);
|
||||
end component;
|
||||
|
||||
component ROM
|
||||
generic (program_file : string := program_file);
|
||||
port (address : in std_logic_vector(14 downto 0);
|
||||
clk : in std_logic;
|
||||
cout : out std_logic_vector(15 downto 0));
|
||||
end component;
|
||||
|
||||
component ram16k
|
||||
port (d : in std_logic_vector(15 downto 0);
|
||||
load : in std_logic;
|
||||
address : in std_logic_vector(14 downto 0);
|
||||
clk : in std_logic;
|
||||
cout : out std_logic_vector(15 downto 0));
|
||||
end component;
|
||||
|
||||
component cpu
|
||||
port (inM, instruction : in std_logic_vector(15 downto 0);
|
||||
reset, clk : in std_logic;
|
||||
outM : out std_logic_vector(15 downto 0);
|
||||
writeM : out std_logic;
|
||||
addressM, pcOut : out std_logic_vector(14 downto 0));
|
||||
end component;
|
||||
|
||||
signal clk_fin, clk, reset, writeM : std_logic;
|
||||
signal ramIn, ramOut, romOut : std_logic_vector(15 downto 0);
|
||||
signal addressM, pcOut : std_logic_vector(14 downto 0);
|
||||
|
||||
begin
|
||||
reset <= '0';
|
||||
clk_fin <= '0';
|
||||
|
||||
OSC_CLK: clock port map (clk_fin, clk);
|
||||
INSR_ROM: ROM port map (pcOut, clk, romOut);
|
||||
MEMORY: ram16k port map (ramIn, writeM, addressM, clk, ramOut);
|
||||
THE_CPU: cpu port map (ramOut, romOut, reset, clk, ramIn, writeM, addressM, pcOut);
|
||||
|
||||
process
|
||||
begin
|
||||
loop
|
||||
wait for 1 ns;
|
||||
assert false report "1 ns passed" severity note;
|
||||
end loop;
|
||||
end process;
|
||||
end computer_tb_arch;
|
450
src/wave/gtkw/computer-fib.gtkw
Normal file
450
src/wave/gtkw/computer-fib.gtkw
Normal file
@ -0,0 +1,450 @@
|
||||
[*]
|
||||
[*] GTKWave Analyzer v3.3.64 (w)1999-2014 BSI
|
||||
[*] Fri Jun 5 21:32:08 2015
|
||||
[*]
|
||||
[dumpfile] "/home/collin/.code/my-projects/public/hack/src/wave/vcd/computer-fib.fst"
|
||||
[dumpfile_mtime] "Thu Jun 4 15:43:16 2015"
|
||||
[dumpfile_size] 64308566
|
||||
[savefile] "/home/collin/.code/my-projects/public/hack/src/wave/gtkw/computer-fib.gtkw"
|
||||
[timestart] 0
|
||||
[size] 1920 1200
|
||||
[pos] -1 -1
|
||||
*-26.855877 0 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1
|
||||
[treeopen] memory.(0).
|
||||
[treeopen] memory.(0).ram4k_i.
|
||||
[treeopen] memory.(0).ram4k_i.(0).
|
||||
[treeopen] memory.(0).ram4k_i.(0).ram512_i.
|
||||
[treeopen] memory.(0).ram4k_i.(0).ram512_i.(0).
|
||||
[treeopen] memory.(0).ram4k_i.(0).ram512_i.(0).ram64_i.
|
||||
[treeopen] memory.(0).ram4k_i.(0).ram512_i.(0).ram64_i.(0).
|
||||
[treeopen] memory.(0).ram4k_i.(0).ram512_i.(0).ram64_i.(0).ram8_i.
|
||||
[treeopen] memory.(0).ram4k_i.(0).ram512_i.(0).ram64_i.(0).ram8_i.(4).
|
||||
[treeopen] memory.(0).ram4k_i.(0).ram512_i.(0).ram64_i.(0).ram8_i.(5).
|
||||
[treeopen] memory.(0).ram4k_i.(0).ram512_i.(0).ram64_i.(0).ram8_i.(6).
|
||||
[treeopen] memory.(0).ram4k_i.(0).ram512_i.(0).ram64_i.(0).ram8_i.(7).
|
||||
[treeopen] memory.(0).ram4k_i.(0).ram512_i.(0).ram64_i.(1).ram8_i.
|
||||
[treeopen] memory.(0).ram4k_i.(0).ram512_i.(0).ram64_i.(1).ram8_i.(0).
|
||||
[treeopen] memory.(0).ram4k_i.(0).ram512_i.(0).ram64_i.(1).ram8_i.(1).
|
||||
[treeopen] memory.(0).ram4k_i.(0).ram512_i.(0).ram64_i.(1).ram8_i.(1).dregister_i.(0).
|
||||
[treeopen] memory.(0).ram4k_i.(0).ram512_i.(0).ram64_i.(2).ram8_i.
|
||||
[treeopen] memory.(0).ram4k_i.(0).ram512_i.(0).ram64_i.(2).ram8_i.(0).
|
||||
[treeopen] memory.(0).ram4k_i.(0).ram512_i.(0).ram64_i.(3).ram8_i.
|
||||
[treeopen] memory.(0).ram4k_i.(0).ram512_i.(0).ram64_i.(3).ram8_i.(0).
|
||||
[treeopen] memory.(0).ram4k_i.(0).ram512_i.(0).ram64_i.(4).ram8_i.
|
||||
[treeopen] memory.(0).ram4k_i.(0).ram512_i.(0).ram64_i.(4).ram8_i.(0).
|
||||
[treeopen] memory.(0).ram4k_i.(0).ram512_i.(0).ram64_i.(4).ram8_i.(7).
|
||||
[treeopen] memory.(0).ram4k_i.(0).ram512_i.(0).ram64_i.(5).ram8_i.
|
||||
[treeopen] memory.(0).ram4k_i.(0).ram512_i.(0).ram64_i.(5).ram8_i.(0).
|
||||
[treeopen] memory.(0).ram4k_i.(0).ram512_i.(7).
|
||||
[treeopen] memory.(0).ram4k_i.(0).ram512_i.(7).ram64_i.
|
||||
[treeopen] memory.(0).ram4k_i.(0).ram512_i.(7).ram64_i.(0).
|
||||
[treeopen] memory.(0).ram4k_i.(0).ram512_i.(7).ram64_i.(0).ram8_i.
|
||||
[treeopen] memory.(0).ram4k_i.(0).ram512_i.(7).ram64_i.(0).ram8_i.(0).
|
||||
[treeopen] memory.(0).ram4k_i.(1).
|
||||
[treeopen] memory.(0).ram4k_i.(1).ram512_i.
|
||||
[treeopen] memory.(0).ram4k_i.(1).ram512_i.(0).
|
||||
[treeopen] memory.(0).ram4k_i.(1).ram512_i.(0).ram64_i.
|
||||
[treeopen] memory.(0).ram4k_i.(1).ram512_i.(0).ram64_i.(0).
|
||||
[treeopen] memory.(0).ram4k_i.(1).ram512_i.(0).ram64_i.(0).ram8_i.
|
||||
[treeopen] memory.(0).ram4k_i.(1).ram512_i.(0).ram64_i.(0).ram8_i.(0).
|
||||
[treeopen] memory.(0).ram4k_i.(1).ram512_i.(0).ram64_i.(0).ram8_i.(1).
|
||||
[treeopen] memory.(0).ram4k_i.(1).ram512_i.(0).ram64_i.(0).ram8_i.(1).dregister_i.(0).
|
||||
[treeopen] memory.(0).ram4k_i.(1).ram512_i.(1).
|
||||
[treeopen] memory.(0).ram4k_i.(1).ram512_i.(1).ram64_i.
|
||||
[treeopen] memory.(0).ram4k_i.(1).ram512_i.(1).ram64_i.(0).
|
||||
[treeopen] memory.(0).ram4k_i.(1).ram512_i.(1).ram64_i.(0).ram8_i.
|
||||
[treeopen] memory.(0).ram4k_i.(1).ram512_i.(1).ram64_i.(0).ram8_i.(0).
|
||||
[treeopen] memory.(0).ram4k_i.(1).ram512_i.(2).
|
||||
[treeopen] memory.(0).ram4k_i.(1).ram512_i.(2).ram64_i.
|
||||
[treeopen] memory.(0).ram4k_i.(1).ram512_i.(2).ram64_i.(0).
|
||||
[treeopen] memory.(0).ram4k_i.(1).ram512_i.(2).ram64_i.(0).ram8_i.
|
||||
[treeopen] memory.(0).ram4k_i.(1).ram512_i.(2).ram64_i.(0).ram8_i.(0).
|
||||
[treeopen] memory.(0).ram4k_i.(1).ram512_i.(2).ram64_i.(0).ram8_i.(7).
|
||||
[treeopen] memory.(0).ram4k_i.(1).ram512_i.(2).ram64_i.(0).ram8_i.(7).dregister_i.(0).
|
||||
[treeopen] memory.(0).ram4k_i.(1).ram512_i.(3).
|
||||
[treeopen] memory.(0).ram4k_i.(1).ram512_i.(3).ram64_i.
|
||||
[treeopen] memory.(0).ram4k_i.(1).ram512_i.(3).ram64_i.(0).
|
||||
[treeopen] memory.(0).ram4k_i.(1).ram512_i.(3).ram64_i.(0).ram8_i.
|
||||
[treeopen] memory.(0).ram4k_i.(1).ram512_i.(3).ram64_i.(0).ram8_i.(0).
|
||||
[treeopen] memory.(0).ram4k_i.(2).
|
||||
[treeopen] memory.(0).ram4k_i.(2).ram512_i.
|
||||
[treeopen] memory.(0).ram4k_i.(2).ram512_i.(0).
|
||||
[treeopen] memory.(0).ram4k_i.(2).ram512_i.(0).ram64_i.
|
||||
[treeopen] memory.(0).ram4k_i.(2).ram512_i.(0).ram64_i.(0).
|
||||
[treeopen] memory.(0).ram4k_i.(2).ram512_i.(0).ram64_i.(0).ram8_i.
|
||||
[treeopen] memory.(0).ram4k_i.(2).ram512_i.(0).ram64_i.(0).ram8_i.(0).
|
||||
[treeopen] memory.(1).
|
||||
[treeopen] memory.(1).ram4k_i.
|
||||
[treeopen] memory.(1).ram4k_i.(0).
|
||||
[treeopen] memory.(1).ram4k_i.(0).ram512_i.
|
||||
[treeopen] memory.(1).ram4k_i.(0).ram512_i.(0).
|
||||
[treeopen] memory.(1).ram4k_i.(0).ram512_i.(0).ram64_i.
|
||||
[treeopen] memory.(1).ram4k_i.(0).ram512_i.(0).ram64_i.(0).
|
||||
[treeopen] memory.(1).ram4k_i.(0).ram512_i.(0).ram64_i.(0).ram8_i.
|
||||
[treeopen] memory.(1).ram4k_i.(0).ram512_i.(0).ram64_i.(0).ram8_i.(0).
|
||||
[treeopen] memory.(1).ram4k_i.(1).
|
||||
[treeopen] memory.(1).ram4k_i.(1).ram512_i.
|
||||
[treeopen] memory.(1).ram4k_i.(1).ram512_i.(0).
|
||||
[treeopen] memory.(1).ram4k_i.(1).ram512_i.(0).ram64_i.
|
||||
[treeopen] memory.(1).ram4k_i.(1).ram512_i.(0).ram64_i.(0).
|
||||
[treeopen] memory.(1).ram4k_i.(1).ram512_i.(0).ram64_i.(0).ram8_i.
|
||||
[treeopen] memory.(1).ram4k_i.(1).ram512_i.(0).ram64_i.(0).ram8_i.(0).
|
||||
[treeopen] memory.(1).ram4k_i.(1).ram512_i.(0).ram64_i.(0).ram8_i.(1).
|
||||
[treeopen] memory.(2).
|
||||
[treeopen] memory.(2).ram4k_i.
|
||||
[treeopen] memory.(2).ram4k_i.(0).
|
||||
[treeopen] memory.(2).ram4k_i.(0).ram512_i.
|
||||
[treeopen] memory.(2).ram4k_i.(0).ram512_i.(0).
|
||||
[treeopen] memory.(2).ram4k_i.(0).ram512_i.(0).ram64_i.
|
||||
[treeopen] memory.(2).ram4k_i.(0).ram512_i.(0).ram64_i.(0).
|
||||
[treeopen] memory.(2).ram4k_i.(0).ram512_i.(0).ram64_i.(0).ram8_i.
|
||||
[treeopen] memory.(2).ram4k_i.(0).ram512_i.(0).ram64_i.(0).ram8_i.(0).
|
||||
[treeopen] memory.(2).ram4k_i.(0).ram512_i.(0).ram64_i.(0).ram8_i.(1).
|
||||
[treeopen] memory.(2).ram4k_i.(0).ram512_i.(1).
|
||||
[treeopen] memory.(2).ram4k_i.(0).ram512_i.(1).ram64_i.
|
||||
[treeopen] memory.(2).ram4k_i.(0).ram512_i.(1).ram64_i.(0).
|
||||
[treeopen] memory.(2).ram4k_i.(0).ram512_i.(1).ram64_i.(0).ram8_i.
|
||||
[treeopen] memory.(2).ram4k_i.(0).ram512_i.(1).ram64_i.(0).ram8_i.(0).
|
||||
[treeopen] memory.(2).ram4k_i.(0).ram512_i.(1).ram64_i.(1).
|
||||
[treeopen] memory.(2).ram4k_i.(0).ram512_i.(1).ram64_i.(1).ram8_i.
|
||||
[treeopen] memory.(2).ram4k_i.(0).ram512_i.(1).ram64_i.(1).ram8_i.(0).
|
||||
[treeopen] memory.(2).ram4k_i.(0).ram512_i.(1).ram64_i.(1).ram8_i.(7).
|
||||
[treeopen] memory.(2).ram4k_i.(0).ram512_i.(1).ram64_i.(1).ram8_i.(7).dregister_i.(0).
|
||||
[treeopen] memory.(2).ram4k_i.(0).ram512_i.(2).
|
||||
[treeopen] memory.(2).ram4k_i.(0).ram512_i.(4).
|
||||
[treeopen] memory.(2).ram4k_i.(0).ram512_i.(5).
|
||||
[treeopen] memory.(2).ram4k_i.(0).ram512_i.(6).
|
||||
[treeopen] memory.(2).ram4k_i.(0).ram512_i.(7).
|
||||
[treeopen] memory.(2).ram4k_i.(7).
|
||||
[treeopen] memory.(2).ram4k_i.(7).ram512_i.
|
||||
[treeopen] memory.(2).ram4k_i.(7).ram512_i.(0).
|
||||
[treeopen] memory.(2).ram4k_i.(7).ram512_i.(0).ram64_i.
|
||||
[treeopen] memory.(2).ram4k_i.(7).ram512_i.(0).ram64_i.(0).
|
||||
[treeopen] memory.(2).ram4k_i.(7).ram512_i.(0).ram64_i.(0).ram8_i.
|
||||
[treeopen] memory.(2).ram4k_i.(7).ram512_i.(0).ram64_i.(0).ram8_i.(0).
|
||||
[treeopen] memory.(2).ram4k_i.(7).ram512_i.(0).ram64_i.(0).ram8_i.(3).
|
||||
[treeopen] memory.(2).ram4k_i.(7).ram512_i.(0).ram64_i.(0).ram8_i.(5).
|
||||
[treeopen] memory.(2).ram4k_i.(7).ram512_i.(0).ram64_i.(0).ram8_i.(6).
|
||||
[treeopen] memory.(2).ram4k_i.(7).ram512_i.(0).ram64_i.(0).ram8_i.(7).
|
||||
[treeopen] memory.(3).
|
||||
[treeopen] memory.(3).ram4k_i.
|
||||
[treeopen] memory.(3).ram4k_i.(0).
|
||||
[treeopen] memory.(3).ram4k_i.(0).ram512_i.
|
||||
[treeopen] memory.(3).ram4k_i.(0).ram512_i.(0).
|
||||
[treeopen] memory.(3).ram4k_i.(0).ram512_i.(0).ram64_i.
|
||||
[treeopen] memory.(3).ram4k_i.(0).ram512_i.(0).ram64_i.(0).
|
||||
[treeopen] memory.(3).ram4k_i.(0).ram512_i.(0).ram64_i.(0).ram8_i.
|
||||
[treeopen] memory.(3).ram4k_i.(0).ram512_i.(0).ram64_i.(0).ram8_i.(0).
|
||||
[treeopen] memory.(3).ram4k_i.(1).
|
||||
[treeopen] memory.(3).ram4k_i.(1).ram512_i.
|
||||
[treeopen] memory.(3).ram4k_i.(1).ram512_i.(0).
|
||||
[treeopen] memory.(3).ram4k_i.(1).ram512_i.(0).ram64_i.
|
||||
[treeopen] memory.(3).ram4k_i.(1).ram512_i.(0).ram64_i.(0).
|
||||
[treeopen] memory.(3).ram4k_i.(1).ram512_i.(0).ram64_i.(0).ram8_i.
|
||||
[treeopen] memory.(3).ram4k_i.(1).ram512_i.(0).ram64_i.(0).ram8_i.(0).
|
||||
[treeopen] memory.(3).ram4k_i.(1).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.
|
||||
[treeopen] memory.(3).ram4k_i.(3).ram512_i.
|
||||
[treeopen] memory.(3).ram4k_i.(3).ram512_i.(0).
|
||||
[treeopen] memory.(3).ram4k_i.(3).ram512_i.(0).ram64_i.
|
||||
[treeopen] memory.(3).ram4k_i.(3).ram512_i.(0).ram64_i.(0).
|
||||
[treeopen] memory.(3).ram4k_i.(3).ram512_i.(0).ram64_i.(0).ram8_i.
|
||||
[treeopen] memory.(3).ram4k_i.(3).ram512_i.(0).ram64_i.(0).ram8_i.(0).
|
||||
[treeopen] memory.(4).ram4k_i.
|
||||
[treeopen] memory.(4).ram4k_i.(0).
|
||||
[treeopen] memory.(4).ram4k_i.(0).ram512_i.
|
||||
[treeopen] memory.(4).ram4k_i.(0).ram512_i.(0).
|
||||
[treeopen] memory.(4).ram4k_i.(0).ram512_i.(0).ram64_i.
|
||||
[treeopen] memory.(4).ram4k_i.(0).ram512_i.(0).ram64_i.(0).
|
||||
[treeopen] memory.(4).ram4k_i.(0).ram512_i.(0).ram64_i.(0).ram8_i.
|
||||
[treeopen] memory.(4).ram4k_i.(0).ram512_i.(0).ram64_i.(0).ram8_i.(0).
|
||||
[treeopen] memory.(5).ram4k_i.
|
||||
[treeopen] memory.(5).ram4k_i.(0).
|
||||
[treeopen] memory.(5).ram4k_i.(0).ram512_i.
|
||||
[treeopen] osc_clk.
|
||||
[treeopen] the_cpu.cpu_alu.
|
||||
[treeopen] the_cpu.regd.
|
||||
[sst_width] 382
|
||||
[signals_width] 251
|
||||
[sst_expanded] 1
|
||||
[sst_vpaned_height] 790
|
||||
@29
|
||||
osc_clk.clk
|
||||
@800200
|
||||
-cpu
|
||||
-in
|
||||
@28
|
||||
the_cpu.instruction[15:0]
|
||||
@22
|
||||
the_cpu.instruction[15:0]
|
||||
the_cpu.inm[15:0]
|
||||
@28
|
||||
[color] 7
|
||||
the_cpu.reset
|
||||
@1000200
|
||||
-in
|
||||
@800200
|
||||
-out
|
||||
@22
|
||||
the_cpu.addressm[14:0]
|
||||
the_cpu.outm[15:0]
|
||||
the_cpu.pcout[14:0]
|
||||
@28
|
||||
the_cpu.writem
|
||||
@1000200
|
||||
-out
|
||||
@800200
|
||||
-Registers
|
||||
@200
|
||||
-Register A
|
||||
@28
|
||||
the_cpu.rega.load
|
||||
@22
|
||||
[color] 1
|
||||
the_cpu.rega.cout[15:0]
|
||||
@200
|
||||
-Register D
|
||||
@28
|
||||
the_cpu.regd.load
|
||||
@22
|
||||
[color] 1
|
||||
the_cpu.regd.cout[15:0]
|
||||
@1000200
|
||||
-Registers
|
||||
@800200
|
||||
-alu
|
||||
-in
|
||||
@22
|
||||
[color] 3
|
||||
the_cpu.cpu_alu.x[15:0]
|
||||
[color] 3
|
||||
the_cpu.cpu_alu.y[15:0]
|
||||
@28
|
||||
[color] 7
|
||||
the_cpu.cpu_alu.zx
|
||||
[color] 7
|
||||
the_cpu.cpu_alu.nx
|
||||
[color] 7
|
||||
the_cpu.cpu_alu.zy
|
||||
[color] 7
|
||||
the_cpu.cpu_alu.ny
|
||||
[color] 7
|
||||
the_cpu.cpu_alu.f
|
||||
[color] 7
|
||||
the_cpu.cpu_alu.no
|
||||
@1000200
|
||||
-in
|
||||
@800200
|
||||
-out
|
||||
@28
|
||||
[color] 6
|
||||
the_cpu.cpu_alu.zr
|
||||
[color] 6
|
||||
the_cpu.cpu_alu.ng
|
||||
@22
|
||||
[color] 3
|
||||
the_cpu.cpu_alu.cout[15:0]
|
||||
@1000200
|
||||
-out
|
||||
-alu
|
||||
-cpu
|
||||
@800200
|
||||
-RAM
|
||||
@22
|
||||
memory.(0).ram4k_i.(0).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(1).ram4k_i.(0).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(2).ram4k_i.(0).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
@24
|
||||
memory.(3).ram4k_i.(0).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(4).ram4k_i.(0).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(5).ram4k_i.(0).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(6).ram4k_i.(0).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(7).ram4k_i.(0).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(0).ram4k_i.(1).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(1).ram4k_i.(1).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(2).ram4k_i.(1).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(3).ram4k_i.(1).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(4).ram4k_i.(1).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(5).ram4k_i.(1).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(6).ram4k_i.(1).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(7).ram4k_i.(1).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(0).ram4k_i.(2).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(1).ram4k_i.(2).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(2).ram4k_i.(2).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(3).ram4k_i.(2).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(4).ram4k_i.(2).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(5).ram4k_i.(2).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(6).ram4k_i.(2).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(7).ram4k_i.(2).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(0).ram4k_i.(3).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(1).ram4k_i.(3).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(2).ram4k_i.(3).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(3).ram4k_i.(3).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(4).ram4k_i.(3).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(5).ram4k_i.(3).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(6).ram4k_i.(3).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(7).ram4k_i.(3).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(0).ram4k_i.(4).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(1).ram4k_i.(4).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(2).ram4k_i.(4).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(3).ram4k_i.(4).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(4).ram4k_i.(4).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(5).ram4k_i.(4).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(6).ram4k_i.(4).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(7).ram4k_i.(4).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(0).ram4k_i.(5).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(1).ram4k_i.(5).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(2).ram4k_i.(5).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(3).ram4k_i.(5).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(4).ram4k_i.(5).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(5).ram4k_i.(5).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(6).ram4k_i.(5).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(7).ram4k_i.(5).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(0).ram4k_i.(6).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(1).ram4k_i.(6).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(2).ram4k_i.(6).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(3).ram4k_i.(6).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(4).ram4k_i.(6).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(5).ram4k_i.(6).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(6).ram4k_i.(6).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(7).ram4k_i.(6).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(0).ram4k_i.(7).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(1).ram4k_i.(7).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(2).ram4k_i.(7).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(3).ram4k_i.(7).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(4).ram4k_i.(7).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(5).ram4k_i.(7).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(6).ram4k_i.(7).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(7).ram4k_i.(7).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(0).ram4k_i.(0).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(1).ram4k_i.(0).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(2).ram4k_i.(0).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(3).ram4k_i.(0).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(4).ram4k_i.(0).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(5).ram4k_i.(0).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(6).ram4k_i.(0).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(7).ram4k_i.(0).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(0).ram4k_i.(1).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(1).ram4k_i.(1).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(2).ram4k_i.(1).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(3).ram4k_i.(1).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(4).ram4k_i.(1).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(5).ram4k_i.(1).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(6).ram4k_i.(1).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(7).ram4k_i.(1).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(0).ram4k_i.(2).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(1).ram4k_i.(2).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(2).ram4k_i.(2).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(3).ram4k_i.(2).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(4).ram4k_i.(2).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(5).ram4k_i.(2).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(6).ram4k_i.(2).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(7).ram4k_i.(2).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(0).ram4k_i.(3).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(1).ram4k_i.(3).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(2).ram4k_i.(3).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(3).ram4k_i.(3).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(4).ram4k_i.(3).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(5).ram4k_i.(3).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(6).ram4k_i.(3).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(7).ram4k_i.(3).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(0).ram4k_i.(4).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(1).ram4k_i.(4).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(2).ram4k_i.(4).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(3).ram4k_i.(4).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(4).ram4k_i.(4).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(5).ram4k_i.(4).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(6).ram4k_i.(4).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(7).ram4k_i.(4).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(0).ram4k_i.(5).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(1).ram4k_i.(5).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(2).ram4k_i.(5).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(3).ram4k_i.(5).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(4).ram4k_i.(5).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(5).ram4k_i.(5).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(6).ram4k_i.(5).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(7).ram4k_i.(5).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(0).ram4k_i.(6).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(1).ram4k_i.(6).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(2).ram4k_i.(6).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(3).ram4k_i.(6).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(4).ram4k_i.(6).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(5).ram4k_i.(6).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(6).ram4k_i.(6).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(7).ram4k_i.(6).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(0).ram4k_i.(7).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(1).ram4k_i.(7).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(2).ram4k_i.(7).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(3).ram4k_i.(7).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(4).ram4k_i.(7).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(5).ram4k_i.(7).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(6).ram4k_i.(7).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(7).ram4k_i.(7).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(0).ram4k_i.(0).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(1).ram4k_i.(0).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(2).ram4k_i.(0).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(3).ram4k_i.(0).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(4).ram4k_i.(0).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(5).ram4k_i.(0).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(6).ram4k_i.(0).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(7).ram4k_i.(0).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(0).ram4k_i.(1).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(1).ram4k_i.(1).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(2).ram4k_i.(1).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(3).ram4k_i.(1).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(4).ram4k_i.(1).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(5).ram4k_i.(1).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(6).ram4k_i.(1).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(7).ram4k_i.(1).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(0).ram4k_i.(2).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(1).ram4k_i.(2).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(2).ram4k_i.(2).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(3).ram4k_i.(2).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(4).ram4k_i.(2).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(5).ram4k_i.(2).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(6).ram4k_i.(2).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(7).ram4k_i.(2).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(0).ram4k_i.(3).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(1).ram4k_i.(3).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(2).ram4k_i.(3).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(3).ram4k_i.(3).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(4).ram4k_i.(3).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(5).ram4k_i.(3).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(6).ram4k_i.(3).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(7).ram4k_i.(3).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(0).ram4k_i.(4).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(1).ram4k_i.(4).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(2).ram4k_i.(4).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(3).ram4k_i.(4).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(4).ram4k_i.(4).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(5).ram4k_i.(4).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(6).ram4k_i.(4).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(7).ram4k_i.(4).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(0).ram4k_i.(5).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(1).ram4k_i.(5).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(2).ram4k_i.(5).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(3).ram4k_i.(5).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(4).ram4k_i.(5).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(5).ram4k_i.(5).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(6).ram4k_i.(5).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(7).ram4k_i.(5).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(0).ram4k_i.(6).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(1).ram4k_i.(6).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(2).ram4k_i.(6).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(3).ram4k_i.(6).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(4).ram4k_i.(6).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(5).ram4k_i.(6).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(6).ram4k_i.(6).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(7).ram4k_i.(6).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(0).ram4k_i.(7).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(1).ram4k_i.(7).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(2).ram4k_i.(7).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(3).ram4k_i.(7).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(4).ram4k_i.(7).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(5).ram4k_i.(7).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(6).ram4k_i.(7).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(7).ram4k_i.(7).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(0).ram4k_i.(0).ram512_i.(3).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
@1000200
|
||||
-RAM
|
||||
[pattern_trace] 1
|
||||
[pattern_trace] 0
|
450
src/wave/gtkw/computer-ghdl-new.gtkw
Normal file
450
src/wave/gtkw/computer-ghdl-new.gtkw
Normal file
@ -0,0 +1,450 @@
|
||||
[*]
|
||||
[*] GTKWave Analyzer v3.3.64 (w)1999-2014 BSI
|
||||
[*] Fri Jun 5 21:32:08 2015
|
||||
[*]
|
||||
[dumpfile] "/home/collin/.code/my-projects/public/hack/src/wave/vcd/computer-fib.fst"
|
||||
[dumpfile_mtime] "Thu Jun 4 15:43:16 2015"
|
||||
[dumpfile_size] 64308566
|
||||
[savefile] "/home/collin/.code/my-projects/public/hack/src/wave/gtkw/computer-fib.gtkw"
|
||||
[timestart] 0
|
||||
[size] 1920 1200
|
||||
[pos] -1 -1
|
||||
*-26.855877 0 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1
|
||||
[treeopen] memory.(0).
|
||||
[treeopen] memory.(0).ram4k_i.
|
||||
[treeopen] memory.(0).ram4k_i.(0).
|
||||
[treeopen] memory.(0).ram4k_i.(0).ram512_i.
|
||||
[treeopen] memory.(0).ram4k_i.(0).ram512_i.(0).
|
||||
[treeopen] memory.(0).ram4k_i.(0).ram512_i.(0).ram64_i.
|
||||
[treeopen] memory.(0).ram4k_i.(0).ram512_i.(0).ram64_i.(0).
|
||||
[treeopen] memory.(0).ram4k_i.(0).ram512_i.(0).ram64_i.(0).ram8_i.
|
||||
[treeopen] memory.(0).ram4k_i.(0).ram512_i.(0).ram64_i.(0).ram8_i.(4).
|
||||
[treeopen] memory.(0).ram4k_i.(0).ram512_i.(0).ram64_i.(0).ram8_i.(5).
|
||||
[treeopen] memory.(0).ram4k_i.(0).ram512_i.(0).ram64_i.(0).ram8_i.(6).
|
||||
[treeopen] memory.(0).ram4k_i.(0).ram512_i.(0).ram64_i.(0).ram8_i.(7).
|
||||
[treeopen] memory.(0).ram4k_i.(0).ram512_i.(0).ram64_i.(1).ram8_i.
|
||||
[treeopen] memory.(0).ram4k_i.(0).ram512_i.(0).ram64_i.(1).ram8_i.(0).
|
||||
[treeopen] memory.(0).ram4k_i.(0).ram512_i.(0).ram64_i.(1).ram8_i.(1).
|
||||
[treeopen] memory.(0).ram4k_i.(0).ram512_i.(0).ram64_i.(1).ram8_i.(1).dregister_i.(0).
|
||||
[treeopen] memory.(0).ram4k_i.(0).ram512_i.(0).ram64_i.(2).ram8_i.
|
||||
[treeopen] memory.(0).ram4k_i.(0).ram512_i.(0).ram64_i.(2).ram8_i.(0).
|
||||
[treeopen] memory.(0).ram4k_i.(0).ram512_i.(0).ram64_i.(3).ram8_i.
|
||||
[treeopen] memory.(0).ram4k_i.(0).ram512_i.(0).ram64_i.(3).ram8_i.(0).
|
||||
[treeopen] memory.(0).ram4k_i.(0).ram512_i.(0).ram64_i.(4).ram8_i.
|
||||
[treeopen] memory.(0).ram4k_i.(0).ram512_i.(0).ram64_i.(4).ram8_i.(0).
|
||||
[treeopen] memory.(0).ram4k_i.(0).ram512_i.(0).ram64_i.(4).ram8_i.(7).
|
||||
[treeopen] memory.(0).ram4k_i.(0).ram512_i.(0).ram64_i.(5).ram8_i.
|
||||
[treeopen] memory.(0).ram4k_i.(0).ram512_i.(0).ram64_i.(5).ram8_i.(0).
|
||||
[treeopen] memory.(0).ram4k_i.(0).ram512_i.(7).
|
||||
[treeopen] memory.(0).ram4k_i.(0).ram512_i.(7).ram64_i.
|
||||
[treeopen] memory.(0).ram4k_i.(0).ram512_i.(7).ram64_i.(0).
|
||||
[treeopen] memory.(0).ram4k_i.(0).ram512_i.(7).ram64_i.(0).ram8_i.
|
||||
[treeopen] memory.(0).ram4k_i.(0).ram512_i.(7).ram64_i.(0).ram8_i.(0).
|
||||
[treeopen] memory.(0).ram4k_i.(1).
|
||||
[treeopen] memory.(0).ram4k_i.(1).ram512_i.
|
||||
[treeopen] memory.(0).ram4k_i.(1).ram512_i.(0).
|
||||
[treeopen] memory.(0).ram4k_i.(1).ram512_i.(0).ram64_i.
|
||||
[treeopen] memory.(0).ram4k_i.(1).ram512_i.(0).ram64_i.(0).
|
||||
[treeopen] memory.(0).ram4k_i.(1).ram512_i.(0).ram64_i.(0).ram8_i.
|
||||
[treeopen] memory.(0).ram4k_i.(1).ram512_i.(0).ram64_i.(0).ram8_i.(0).
|
||||
[treeopen] memory.(0).ram4k_i.(1).ram512_i.(0).ram64_i.(0).ram8_i.(1).
|
||||
[treeopen] memory.(0).ram4k_i.(1).ram512_i.(0).ram64_i.(0).ram8_i.(1).dregister_i.(0).
|
||||
[treeopen] memory.(0).ram4k_i.(1).ram512_i.(1).
|
||||
[treeopen] memory.(0).ram4k_i.(1).ram512_i.(1).ram64_i.
|
||||
[treeopen] memory.(0).ram4k_i.(1).ram512_i.(1).ram64_i.(0).
|
||||
[treeopen] memory.(0).ram4k_i.(1).ram512_i.(1).ram64_i.(0).ram8_i.
|
||||
[treeopen] memory.(0).ram4k_i.(1).ram512_i.(1).ram64_i.(0).ram8_i.(0).
|
||||
[treeopen] memory.(0).ram4k_i.(1).ram512_i.(2).
|
||||
[treeopen] memory.(0).ram4k_i.(1).ram512_i.(2).ram64_i.
|
||||
[treeopen] memory.(0).ram4k_i.(1).ram512_i.(2).ram64_i.(0).
|
||||
[treeopen] memory.(0).ram4k_i.(1).ram512_i.(2).ram64_i.(0).ram8_i.
|
||||
[treeopen] memory.(0).ram4k_i.(1).ram512_i.(2).ram64_i.(0).ram8_i.(0).
|
||||
[treeopen] memory.(0).ram4k_i.(1).ram512_i.(2).ram64_i.(0).ram8_i.(7).
|
||||
[treeopen] memory.(0).ram4k_i.(1).ram512_i.(2).ram64_i.(0).ram8_i.(7).dregister_i.(0).
|
||||
[treeopen] memory.(0).ram4k_i.(1).ram512_i.(3).
|
||||
[treeopen] memory.(0).ram4k_i.(1).ram512_i.(3).ram64_i.
|
||||
[treeopen] memory.(0).ram4k_i.(1).ram512_i.(3).ram64_i.(0).
|
||||
[treeopen] memory.(0).ram4k_i.(1).ram512_i.(3).ram64_i.(0).ram8_i.
|
||||
[treeopen] memory.(0).ram4k_i.(1).ram512_i.(3).ram64_i.(0).ram8_i.(0).
|
||||
[treeopen] memory.(0).ram4k_i.(2).
|
||||
[treeopen] memory.(0).ram4k_i.(2).ram512_i.
|
||||
[treeopen] memory.(0).ram4k_i.(2).ram512_i.(0).
|
||||
[treeopen] memory.(0).ram4k_i.(2).ram512_i.(0).ram64_i.
|
||||
[treeopen] memory.(0).ram4k_i.(2).ram512_i.(0).ram64_i.(0).
|
||||
[treeopen] memory.(0).ram4k_i.(2).ram512_i.(0).ram64_i.(0).ram8_i.
|
||||
[treeopen] memory.(0).ram4k_i.(2).ram512_i.(0).ram64_i.(0).ram8_i.(0).
|
||||
[treeopen] memory.(1).
|
||||
[treeopen] memory.(1).ram4k_i.
|
||||
[treeopen] memory.(1).ram4k_i.(0).
|
||||
[treeopen] memory.(1).ram4k_i.(0).ram512_i.
|
||||
[treeopen] memory.(1).ram4k_i.(0).ram512_i.(0).
|
||||
[treeopen] memory.(1).ram4k_i.(0).ram512_i.(0).ram64_i.
|
||||
[treeopen] memory.(1).ram4k_i.(0).ram512_i.(0).ram64_i.(0).
|
||||
[treeopen] memory.(1).ram4k_i.(0).ram512_i.(0).ram64_i.(0).ram8_i.
|
||||
[treeopen] memory.(1).ram4k_i.(0).ram512_i.(0).ram64_i.(0).ram8_i.(0).
|
||||
[treeopen] memory.(1).ram4k_i.(1).
|
||||
[treeopen] memory.(1).ram4k_i.(1).ram512_i.
|
||||
[treeopen] memory.(1).ram4k_i.(1).ram512_i.(0).
|
||||
[treeopen] memory.(1).ram4k_i.(1).ram512_i.(0).ram64_i.
|
||||
[treeopen] memory.(1).ram4k_i.(1).ram512_i.(0).ram64_i.(0).
|
||||
[treeopen] memory.(1).ram4k_i.(1).ram512_i.(0).ram64_i.(0).ram8_i.
|
||||
[treeopen] memory.(1).ram4k_i.(1).ram512_i.(0).ram64_i.(0).ram8_i.(0).
|
||||
[treeopen] memory.(1).ram4k_i.(1).ram512_i.(0).ram64_i.(0).ram8_i.(1).
|
||||
[treeopen] memory.(2).
|
||||
[treeopen] memory.(2).ram4k_i.
|
||||
[treeopen] memory.(2).ram4k_i.(0).
|
||||
[treeopen] memory.(2).ram4k_i.(0).ram512_i.
|
||||
[treeopen] memory.(2).ram4k_i.(0).ram512_i.(0).
|
||||
[treeopen] memory.(2).ram4k_i.(0).ram512_i.(0).ram64_i.
|
||||
[treeopen] memory.(2).ram4k_i.(0).ram512_i.(0).ram64_i.(0).
|
||||
[treeopen] memory.(2).ram4k_i.(0).ram512_i.(0).ram64_i.(0).ram8_i.
|
||||
[treeopen] memory.(2).ram4k_i.(0).ram512_i.(0).ram64_i.(0).ram8_i.(0).
|
||||
[treeopen] memory.(2).ram4k_i.(0).ram512_i.(0).ram64_i.(0).ram8_i.(1).
|
||||
[treeopen] memory.(2).ram4k_i.(0).ram512_i.(1).
|
||||
[treeopen] memory.(2).ram4k_i.(0).ram512_i.(1).ram64_i.
|
||||
[treeopen] memory.(2).ram4k_i.(0).ram512_i.(1).ram64_i.(0).
|
||||
[treeopen] memory.(2).ram4k_i.(0).ram512_i.(1).ram64_i.(0).ram8_i.
|
||||
[treeopen] memory.(2).ram4k_i.(0).ram512_i.(1).ram64_i.(0).ram8_i.(0).
|
||||
[treeopen] memory.(2).ram4k_i.(0).ram512_i.(1).ram64_i.(1).
|
||||
[treeopen] memory.(2).ram4k_i.(0).ram512_i.(1).ram64_i.(1).ram8_i.
|
||||
[treeopen] memory.(2).ram4k_i.(0).ram512_i.(1).ram64_i.(1).ram8_i.(0).
|
||||
[treeopen] memory.(2).ram4k_i.(0).ram512_i.(1).ram64_i.(1).ram8_i.(7).
|
||||
[treeopen] memory.(2).ram4k_i.(0).ram512_i.(1).ram64_i.(1).ram8_i.(7).dregister_i.(0).
|
||||
[treeopen] memory.(2).ram4k_i.(0).ram512_i.(2).
|
||||
[treeopen] memory.(2).ram4k_i.(0).ram512_i.(4).
|
||||
[treeopen] memory.(2).ram4k_i.(0).ram512_i.(5).
|
||||
[treeopen] memory.(2).ram4k_i.(0).ram512_i.(6).
|
||||
[treeopen] memory.(2).ram4k_i.(0).ram512_i.(7).
|
||||
[treeopen] memory.(2).ram4k_i.(7).
|
||||
[treeopen] memory.(2).ram4k_i.(7).ram512_i.
|
||||
[treeopen] memory.(2).ram4k_i.(7).ram512_i.(0).
|
||||
[treeopen] memory.(2).ram4k_i.(7).ram512_i.(0).ram64_i.
|
||||
[treeopen] memory.(2).ram4k_i.(7).ram512_i.(0).ram64_i.(0).
|
||||
[treeopen] memory.(2).ram4k_i.(7).ram512_i.(0).ram64_i.(0).ram8_i.
|
||||
[treeopen] memory.(2).ram4k_i.(7).ram512_i.(0).ram64_i.(0).ram8_i.(0).
|
||||
[treeopen] memory.(2).ram4k_i.(7).ram512_i.(0).ram64_i.(0).ram8_i.(3).
|
||||
[treeopen] memory.(2).ram4k_i.(7).ram512_i.(0).ram64_i.(0).ram8_i.(5).
|
||||
[treeopen] memory.(2).ram4k_i.(7).ram512_i.(0).ram64_i.(0).ram8_i.(6).
|
||||
[treeopen] memory.(2).ram4k_i.(7).ram512_i.(0).ram64_i.(0).ram8_i.(7).
|
||||
[treeopen] memory.(3).
|
||||
[treeopen] memory.(3).ram4k_i.
|
||||
[treeopen] memory.(3).ram4k_i.(0).
|
||||
[treeopen] memory.(3).ram4k_i.(0).ram512_i.
|
||||
[treeopen] memory.(3).ram4k_i.(0).ram512_i.(0).
|
||||
[treeopen] memory.(3).ram4k_i.(0).ram512_i.(0).ram64_i.
|
||||
[treeopen] memory.(3).ram4k_i.(0).ram512_i.(0).ram64_i.(0).
|
||||
[treeopen] memory.(3).ram4k_i.(0).ram512_i.(0).ram64_i.(0).ram8_i.
|
||||
[treeopen] memory.(3).ram4k_i.(0).ram512_i.(0).ram64_i.(0).ram8_i.(0).
|
||||
[treeopen] memory.(3).ram4k_i.(1).
|
||||
[treeopen] memory.(3).ram4k_i.(1).ram512_i.
|
||||
[treeopen] memory.(3).ram4k_i.(1).ram512_i.(0).
|
||||
[treeopen] memory.(3).ram4k_i.(1).ram512_i.(0).ram64_i.
|
||||
[treeopen] memory.(3).ram4k_i.(1).ram512_i.(0).ram64_i.(0).
|
||||
[treeopen] memory.(3).ram4k_i.(1).ram512_i.(0).ram64_i.(0).ram8_i.
|
||||
[treeopen] memory.(3).ram4k_i.(1).ram512_i.(0).ram64_i.(0).ram8_i.(0).
|
||||
[treeopen] memory.(3).ram4k_i.(1).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.
|
||||
[treeopen] memory.(3).ram4k_i.(3).ram512_i.
|
||||
[treeopen] memory.(3).ram4k_i.(3).ram512_i.(0).
|
||||
[treeopen] memory.(3).ram4k_i.(3).ram512_i.(0).ram64_i.
|
||||
[treeopen] memory.(3).ram4k_i.(3).ram512_i.(0).ram64_i.(0).
|
||||
[treeopen] memory.(3).ram4k_i.(3).ram512_i.(0).ram64_i.(0).ram8_i.
|
||||
[treeopen] memory.(3).ram4k_i.(3).ram512_i.(0).ram64_i.(0).ram8_i.(0).
|
||||
[treeopen] memory.(4).ram4k_i.
|
||||
[treeopen] memory.(4).ram4k_i.(0).
|
||||
[treeopen] memory.(4).ram4k_i.(0).ram512_i.
|
||||
[treeopen] memory.(4).ram4k_i.(0).ram512_i.(0).
|
||||
[treeopen] memory.(4).ram4k_i.(0).ram512_i.(0).ram64_i.
|
||||
[treeopen] memory.(4).ram4k_i.(0).ram512_i.(0).ram64_i.(0).
|
||||
[treeopen] memory.(4).ram4k_i.(0).ram512_i.(0).ram64_i.(0).ram8_i.
|
||||
[treeopen] memory.(4).ram4k_i.(0).ram512_i.(0).ram64_i.(0).ram8_i.(0).
|
||||
[treeopen] memory.(5).ram4k_i.
|
||||
[treeopen] memory.(5).ram4k_i.(0).
|
||||
[treeopen] memory.(5).ram4k_i.(0).ram512_i.
|
||||
[treeopen] osc_clk.
|
||||
[treeopen] the_cpu.cpu_alu.
|
||||
[treeopen] the_cpu.regd.
|
||||
[sst_width] 382
|
||||
[signals_width] 251
|
||||
[sst_expanded] 1
|
||||
[sst_vpaned_height] 790
|
||||
@29
|
||||
osc_clk.clk
|
||||
@800200
|
||||
-cpu
|
||||
-in
|
||||
@28
|
||||
the_cpu.instruction[15:0]
|
||||
@22
|
||||
the_cpu.instruction[15:0]
|
||||
the_cpu.inm[15:0]
|
||||
@28
|
||||
[color] 7
|
||||
the_cpu.reset
|
||||
@1000200
|
||||
-in
|
||||
@800200
|
||||
-out
|
||||
@22
|
||||
the_cpu.addressm[14:0]
|
||||
the_cpu.outm[15:0]
|
||||
the_cpu.pcout[14:0]
|
||||
@28
|
||||
the_cpu.writem
|
||||
@1000200
|
||||
-out
|
||||
@800200
|
||||
-Registers
|
||||
@200
|
||||
-Register A
|
||||
@28
|
||||
the_cpu.rega.load
|
||||
@22
|
||||
[color] 1
|
||||
the_cpu.rega.cout[15:0]
|
||||
@200
|
||||
-Register D
|
||||
@28
|
||||
the_cpu.regd.load
|
||||
@22
|
||||
[color] 1
|
||||
the_cpu.regd.cout[15:0]
|
||||
@1000200
|
||||
-Registers
|
||||
@800200
|
||||
-alu
|
||||
-in
|
||||
@22
|
||||
[color] 3
|
||||
the_cpu.cpu_alu.x[15:0]
|
||||
[color] 3
|
||||
the_cpu.cpu_alu.y[15:0]
|
||||
@28
|
||||
[color] 7
|
||||
the_cpu.cpu_alu.zx
|
||||
[color] 7
|
||||
the_cpu.cpu_alu.nx
|
||||
[color] 7
|
||||
the_cpu.cpu_alu.zy
|
||||
[color] 7
|
||||
the_cpu.cpu_alu.ny
|
||||
[color] 7
|
||||
the_cpu.cpu_alu.f
|
||||
[color] 7
|
||||
the_cpu.cpu_alu.no
|
||||
@1000200
|
||||
-in
|
||||
@800200
|
||||
-out
|
||||
@28
|
||||
[color] 6
|
||||
the_cpu.cpu_alu.zr
|
||||
[color] 6
|
||||
the_cpu.cpu_alu.ng
|
||||
@22
|
||||
[color] 3
|
||||
the_cpu.cpu_alu.cout[15:0]
|
||||
@1000200
|
||||
-out
|
||||
-alu
|
||||
-cpu
|
||||
@800200
|
||||
-RAM
|
||||
@22
|
||||
memory.(0).ram4k_i.(0).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(1).ram4k_i.(0).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(2).ram4k_i.(0).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
@24
|
||||
memory.(3).ram4k_i.(0).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(4).ram4k_i.(0).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(5).ram4k_i.(0).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(6).ram4k_i.(0).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(7).ram4k_i.(0).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(0).ram4k_i.(1).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(1).ram4k_i.(1).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(2).ram4k_i.(1).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(3).ram4k_i.(1).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(4).ram4k_i.(1).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(5).ram4k_i.(1).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(6).ram4k_i.(1).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(7).ram4k_i.(1).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(0).ram4k_i.(2).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(1).ram4k_i.(2).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(2).ram4k_i.(2).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(3).ram4k_i.(2).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(4).ram4k_i.(2).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(5).ram4k_i.(2).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(6).ram4k_i.(2).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(7).ram4k_i.(2).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(0).ram4k_i.(3).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(1).ram4k_i.(3).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(2).ram4k_i.(3).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(3).ram4k_i.(3).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(4).ram4k_i.(3).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(5).ram4k_i.(3).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(6).ram4k_i.(3).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(7).ram4k_i.(3).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(0).ram4k_i.(4).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(1).ram4k_i.(4).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(2).ram4k_i.(4).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(3).ram4k_i.(4).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(4).ram4k_i.(4).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(5).ram4k_i.(4).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(6).ram4k_i.(4).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(7).ram4k_i.(4).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(0).ram4k_i.(5).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(1).ram4k_i.(5).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(2).ram4k_i.(5).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(3).ram4k_i.(5).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(4).ram4k_i.(5).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(5).ram4k_i.(5).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(6).ram4k_i.(5).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(7).ram4k_i.(5).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(0).ram4k_i.(6).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(1).ram4k_i.(6).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(2).ram4k_i.(6).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(3).ram4k_i.(6).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(4).ram4k_i.(6).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(5).ram4k_i.(6).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(6).ram4k_i.(6).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(7).ram4k_i.(6).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(0).ram4k_i.(7).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(1).ram4k_i.(7).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(2).ram4k_i.(7).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(3).ram4k_i.(7).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(4).ram4k_i.(7).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(5).ram4k_i.(7).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(6).ram4k_i.(7).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(7).ram4k_i.(7).ram512_i.(0).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(0).ram4k_i.(0).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(1).ram4k_i.(0).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(2).ram4k_i.(0).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(3).ram4k_i.(0).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(4).ram4k_i.(0).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(5).ram4k_i.(0).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(6).ram4k_i.(0).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(7).ram4k_i.(0).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(0).ram4k_i.(1).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(1).ram4k_i.(1).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(2).ram4k_i.(1).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(3).ram4k_i.(1).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(4).ram4k_i.(1).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(5).ram4k_i.(1).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(6).ram4k_i.(1).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(7).ram4k_i.(1).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(0).ram4k_i.(2).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(1).ram4k_i.(2).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(2).ram4k_i.(2).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(3).ram4k_i.(2).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(4).ram4k_i.(2).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(5).ram4k_i.(2).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(6).ram4k_i.(2).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(7).ram4k_i.(2).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(0).ram4k_i.(3).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(1).ram4k_i.(3).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(2).ram4k_i.(3).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(3).ram4k_i.(3).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(4).ram4k_i.(3).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(5).ram4k_i.(3).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(6).ram4k_i.(3).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(7).ram4k_i.(3).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(0).ram4k_i.(4).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(1).ram4k_i.(4).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(2).ram4k_i.(4).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(3).ram4k_i.(4).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(4).ram4k_i.(4).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(5).ram4k_i.(4).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(6).ram4k_i.(4).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(7).ram4k_i.(4).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(0).ram4k_i.(5).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(1).ram4k_i.(5).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(2).ram4k_i.(5).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(3).ram4k_i.(5).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(4).ram4k_i.(5).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(5).ram4k_i.(5).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(6).ram4k_i.(5).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(7).ram4k_i.(5).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(0).ram4k_i.(6).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(1).ram4k_i.(6).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(2).ram4k_i.(6).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(3).ram4k_i.(6).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(4).ram4k_i.(6).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(5).ram4k_i.(6).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(6).ram4k_i.(6).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(7).ram4k_i.(6).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(0).ram4k_i.(7).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(1).ram4k_i.(7).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(2).ram4k_i.(7).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(3).ram4k_i.(7).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(4).ram4k_i.(7).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(5).ram4k_i.(7).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(6).ram4k_i.(7).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(7).ram4k_i.(7).ram512_i.(1).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(0).ram4k_i.(0).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(1).ram4k_i.(0).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(2).ram4k_i.(0).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(3).ram4k_i.(0).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(4).ram4k_i.(0).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(5).ram4k_i.(0).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(6).ram4k_i.(0).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(7).ram4k_i.(0).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(0).ram4k_i.(1).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(1).ram4k_i.(1).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(2).ram4k_i.(1).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(3).ram4k_i.(1).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(4).ram4k_i.(1).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(5).ram4k_i.(1).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(6).ram4k_i.(1).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(7).ram4k_i.(1).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(0).ram4k_i.(2).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(1).ram4k_i.(2).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(2).ram4k_i.(2).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(3).ram4k_i.(2).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(4).ram4k_i.(2).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(5).ram4k_i.(2).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(6).ram4k_i.(2).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(7).ram4k_i.(2).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(0).ram4k_i.(3).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(1).ram4k_i.(3).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(2).ram4k_i.(3).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(3).ram4k_i.(3).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(4).ram4k_i.(3).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(5).ram4k_i.(3).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(6).ram4k_i.(3).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(7).ram4k_i.(3).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(0).ram4k_i.(4).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(1).ram4k_i.(4).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(2).ram4k_i.(4).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(3).ram4k_i.(4).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(4).ram4k_i.(4).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(5).ram4k_i.(4).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(6).ram4k_i.(4).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(7).ram4k_i.(4).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(0).ram4k_i.(5).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(1).ram4k_i.(5).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(2).ram4k_i.(5).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(3).ram4k_i.(5).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(4).ram4k_i.(5).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(5).ram4k_i.(5).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(6).ram4k_i.(5).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(7).ram4k_i.(5).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(0).ram4k_i.(6).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(1).ram4k_i.(6).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(2).ram4k_i.(6).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(3).ram4k_i.(6).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(4).ram4k_i.(6).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(5).ram4k_i.(6).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(6).ram4k_i.(6).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(7).ram4k_i.(6).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(0).ram4k_i.(7).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(1).ram4k_i.(7).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(2).ram4k_i.(7).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(3).ram4k_i.(7).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(4).ram4k_i.(7).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(5).ram4k_i.(7).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(6).ram4k_i.(7).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(7).ram4k_i.(7).ram512_i.(2).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
memory.(0).ram4k_i.(0).ram512_i.(3).ram64_i.(0).ram8_i.(0).dregister_i.cout[15:0]
|
||||
@1000200
|
||||
-RAM
|
||||
[pattern_trace] 1
|
||||
[pattern_trace] 0
|
166
src/wave/gtkw/computer-max.gtkw
Normal file
166
src/wave/gtkw/computer-max.gtkw
Normal file
@ -0,0 +1,166 @@
|
||||
[*]
|
||||
[*] GTKWave Analyzer v3.3.64 (w)1999-2014 BSI
|
||||
[*] Fri Jun 5 21:35:52 2015
|
||||
[*]
|
||||
[dumpfile] "/home/collin/.code/my-projects/public/hack/src/wave/vcd/computer-max.fst"
|
||||
[dumpfile_mtime] "Thu Jun 4 05:28:53 2015"
|
||||
[dumpfile_size] 14867829
|
||||
[savefile] "/home/collin/.code/my-projects/public/hack/src/wave/gtkw/computer-max.gtkw"
|
||||
[timestart] 0
|
||||
[size] 1920 1200
|
||||
[pos] -1 -1
|
||||
*-21.545677 0 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1
|
||||
[treeopen] memory.
|
||||
[treeopen] memory.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(1).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(1).ram64_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(1).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(1).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.
|
||||
[treeopen] memory.ram(1).
|
||||
[treeopen] memory.ram(1).ram4k_i.
|
||||
[treeopen] memory.ram(1).ram4k_i.ram(0).
|
||||
[treeopen] memory.ram(1).ram4k_i.ram(0).ram512_i.
|
||||
[treeopen] memory.ram(1).ram4k_i.ram(0).ram512_i.ram(0).
|
||||
[treeopen] memory.ram(1).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.
|
||||
[treeopen] memory.ram(1).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(1).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(1).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(2).
|
||||
[treeopen] memory.ram(2).ram4k_i.
|
||||
[treeopen] memory.ram(2).ram4k_i.ram(0).
|
||||
[treeopen] memory.ram(2).ram4k_i.ram(0).ram512_i.
|
||||
[treeopen] memory.ram(2).ram4k_i.ram(0).ram512_i.ram(0).
|
||||
[treeopen] memory.ram(2).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.
|
||||
[treeopen] memory.ram(2).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(2).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(2).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(2).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.
|
||||
[treeopen] memory.ram(3).
|
||||
[treeopen] memory.ram(3).ram4k_i.
|
||||
[treeopen] memory.ram(3).ram4k_i.ram(0).
|
||||
[treeopen] memory.ram(3).ram4k_i.ram(0).ram512_i.
|
||||
[treeopen] memory.ram(3).ram4k_i.ram(0).ram512_i.ram(0).
|
||||
[treeopen] memory.ram(3).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.
|
||||
[treeopen] memory.ram(3).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(3).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(3).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(4).
|
||||
[treeopen] memory.ram(4).ram4k_i.
|
||||
[treeopen] memory.ram(4).ram4k_i.ram(0).
|
||||
[treeopen] memory.ram(4).ram4k_i.ram(0).ram512_i.
|
||||
[treeopen] memory.ram(4).ram4k_i.ram(0).ram512_i.ram(0).
|
||||
[treeopen] memory.ram(4).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.
|
||||
[treeopen] memory.ram(4).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(4).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(4).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] the_cpu.
|
||||
[treeopen] the_cpu.cpu_alu.
|
||||
[sst_width] 358
|
||||
[signals_width] 305
|
||||
[sst_expanded] 1
|
||||
[sst_vpaned_height] 742
|
||||
@29
|
||||
osc_clk.clk
|
||||
@800200
|
||||
-cpu
|
||||
-in
|
||||
@28
|
||||
the_cpu.instruction[15:0]
|
||||
@22
|
||||
the_cpu.instruction[15:0]
|
||||
the_cpu.inm[15:0]
|
||||
@28
|
||||
[color] 7
|
||||
the_cpu.reset
|
||||
@1000200
|
||||
-in
|
||||
@800200
|
||||
-out
|
||||
@22
|
||||
the_cpu.addressm[14:0]
|
||||
the_cpu.outm[15:0]
|
||||
the_cpu.pcout[14:0]
|
||||
@28
|
||||
the_cpu.reset
|
||||
[color] 6
|
||||
the_cpu.writem
|
||||
@1000200
|
||||
-out
|
||||
@800200
|
||||
-alu
|
||||
-in
|
||||
@22
|
||||
[color] 3
|
||||
the_cpu.cpu_alu.x[15:0]
|
||||
[color] 3
|
||||
the_cpu.cpu_alu.y[15:0]
|
||||
@28
|
||||
[color] 7
|
||||
the_cpu.cpu_alu.zx
|
||||
[color] 7
|
||||
the_cpu.cpu_alu.nx
|
||||
[color] 7
|
||||
the_cpu.cpu_alu.zy
|
||||
[color] 7
|
||||
the_cpu.cpu_alu.ny
|
||||
[color] 7
|
||||
the_cpu.cpu_alu.f
|
||||
[color] 7
|
||||
the_cpu.cpu_alu.no
|
||||
@1000200
|
||||
-in
|
||||
@800200
|
||||
-out
|
||||
@28
|
||||
[color] 6
|
||||
the_cpu.cpu_alu.zr
|
||||
[color] 6
|
||||
the_cpu.cpu_alu.ng
|
||||
@22
|
||||
[color] 3
|
||||
the_cpu.cpu_alu.cout[15:0]
|
||||
@1000200
|
||||
-out
|
||||
-alu
|
||||
@800200
|
||||
-Registers
|
||||
@200
|
||||
-Register A
|
||||
@28
|
||||
the_cpu.rega.load
|
||||
@22
|
||||
[color] 1
|
||||
the_cpu.rega.cout[15:0]
|
||||
@200
|
||||
-Register D
|
||||
@28
|
||||
the_cpu.regd.load
|
||||
@22
|
||||
[color] 1
|
||||
the_cpu.regd.cout[15:0]
|
||||
@1000200
|
||||
-Registers
|
||||
-cpu
|
||||
@800200
|
||||
-RAM
|
||||
@22
|
||||
+{address_0x0000} memory.ram(0).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
+{address_0x0001} memory.ram(1).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
+{address_0x0002} memory.ram(2).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
+{address_0x0003} memory.ram(3).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
+{address_0x0004} memory.ram(4).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
@1000200
|
||||
-RAM
|
||||
[pattern_trace] 1
|
||||
[pattern_trace] 0
|
610
src/wave/gtkw/computer-memory-fill.gtkw
Normal file
610
src/wave/gtkw/computer-memory-fill.gtkw
Normal file
@ -0,0 +1,610 @@
|
||||
[*]
|
||||
[*] GTKWave Analyzer v3.3.64 (w)1999-2014 BSI
|
||||
[*] Fri Jun 5 21:34:20 2015
|
||||
[*]
|
||||
[dumpfile] "/home/collin/.code/my-projects/public/hack/src/wave/vcd/computer-memory-fill.fst"
|
||||
[dumpfile_mtime] "Tue Jun 2 05:34:23 2015"
|
||||
[dumpfile_size] 241501171
|
||||
[savefile] "/home/collin/.code/my-projects/public/hack/src/wave/gtkw/computer-memory-fill.gtkw"
|
||||
[timestart] 0
|
||||
[size] 1920 1200
|
||||
[pos] -1 -1
|
||||
*-28.662239 0 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1
|
||||
[treeopen] memory.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(1).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(1).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(1).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(1).ram8_i.reg(0).dregister_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(1).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(1).ram64_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(1).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(1).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(1).ram64_i.ram(1).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(1).ram64_i.ram(1).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(1).ram64_i.ram(2).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(1).ram64_i.ram(2).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(1).ram64_i.ram(2).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(1).ram64_i.ram(2).ram8_i.reg(0).dregister_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(2).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(2).ram64_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(2).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(2).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(3).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(3).ram64_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(3).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(3).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(3).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(4).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(4).ram64_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(4).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(4).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(4).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(1).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(1).ram512_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(1).ram512_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(1).ram512_i.ram(0).ram64_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(1).ram512_i.ram(0).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(1).ram512_i.ram(0).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(1).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(1).ram512_i.ram(1).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(1).ram512_i.ram(1).ram64_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(1).ram512_i.ram(1).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(1).ram512_i.ram(1).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(1).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(1).ram512_i.ram(1).ram64_i.ram(1).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(1).ram512_i.ram(1).ram64_i.ram(1).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(1).ram512_i.ram(2).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(1).ram512_i.ram(2).ram64_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(1).ram512_i.ram(2).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(1).ram512_i.ram(2).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(1).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(1).ram512_i.ram(3).ram64_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(1).ram512_i.ram(3).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(1).ram512_i.ram(3).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(1).ram512_i.ram(3).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(2).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(2).ram512_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(2).ram512_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(1).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(1).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(2).ram512_i.ram(1).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(2).ram512_i.ram(1).ram64_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(2).ram512_i.ram(1).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(2).ram512_i.ram(1).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(2).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(2).ram512_i.ram(2).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(2).ram512_i.ram(2).ram64_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(2).ram512_i.ram(2).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(2).ram512_i.ram(2).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(2).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(2).ram512_i.ram(3).ram64_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(2).ram512_i.ram(3).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(2).ram512_i.ram(3).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(2).ram512_i.ram(3).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(3).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(3).ram512_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(3).ram512_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(3).ram512_i.ram(0).ram64_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(3).ram512_i.ram(0).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(3).ram512_i.ram(0).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(3).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(3).ram512_i.ram(0).ram64_i.ram(1).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(3).ram512_i.ram(0).ram64_i.ram(1).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(3).ram512_i.ram(1).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(3).ram512_i.ram(1).ram64_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(3).ram512_i.ram(1).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(3).ram512_i.ram(1).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(3).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(3).ram512_i.ram(2).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(3).ram512_i.ram(2).ram64_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(3).ram512_i.ram(2).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(3).ram512_i.ram(2).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(3).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(3).ram512_i.ram(2).ram64_i.ram(1).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(3).ram512_i.ram(2).ram64_i.ram(1).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(3).ram512_i.ram(3).ram64_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(3).ram512_i.ram(3).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(3).ram512_i.ram(3).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(4).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(4).ram512_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(4).ram512_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(4).ram512_i.ram(0).ram64_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(4).ram512_i.ram(0).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(4).ram512_i.ram(0).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(4).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(4).ram512_i.ram(0).ram64_i.ram(1).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(4).ram512_i.ram(0).ram64_i.ram(1).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(4).ram512_i.ram(0).ram64_i.ram(1).ram8_i.reg(0).dregister_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(4).ram512_i.ram(1).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(4).ram512_i.ram(1).ram64_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(4).ram512_i.ram(1).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(4).ram512_i.ram(1).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(4).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(4).ram512_i.ram(2).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(4).ram512_i.ram(2).ram64_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(4).ram512_i.ram(2).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(4).ram512_i.ram(2).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(4).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(4).ram512_i.ram(3).ram64_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(4).ram512_i.ram(3).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(4).ram512_i.ram(3).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(4).ram512_i.ram(3).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(4).ram512_i.ram(3).ram64_i.ram(0).ram8_i.reg(0).dregister_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(5).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(5).ram512_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(5).ram512_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(5).ram512_i.ram(0).ram64_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(5).ram512_i.ram(0).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(5).ram512_i.ram(0).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(5).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(1).
|
||||
[treeopen] memory.ram(1).ram4k_i.
|
||||
[treeopen] memory.ram(1).ram4k_i.ram(0).
|
||||
[treeopen] memory.ram(1).ram4k_i.ram(0).ram512_i.
|
||||
[treeopen] memory.ram(1).ram4k_i.ram(0).ram512_i.ram(0).
|
||||
[treeopen] memory.ram(1).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.
|
||||
[treeopen] memory.ram(1).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(1).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(1).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(1).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.
|
||||
[treeopen] memory.ram(1).ram4k_i.ram(2).
|
||||
[treeopen] memory.ram(1).ram4k_i.ram(2).ram512_i.
|
||||
[treeopen] memory.ram(1).ram4k_i.ram(2).ram512_i.ram(0).
|
||||
[treeopen] memory.ram(1).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.
|
||||
[treeopen] memory.ram(1).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(1).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(1).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(1).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.
|
||||
[treeopen] memory.ram(2).
|
||||
[treeopen] memory.ram(2).ram4k_i.
|
||||
[treeopen] memory.ram(2).ram4k_i.ram(0).
|
||||
[treeopen] memory.ram(2).ram4k_i.ram(0).ram512_i.
|
||||
[treeopen] memory.ram(2).ram4k_i.ram(0).ram512_i.ram(0).
|
||||
[treeopen] memory.ram(2).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.
|
||||
[treeopen] memory.ram(2).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(2).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(2).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(2).ram4k_i.ram(2).
|
||||
[treeopen] memory.ram(2).ram4k_i.ram(2).ram512_i.
|
||||
[treeopen] memory.ram(2).ram4k_i.ram(2).ram512_i.ram(0).
|
||||
[treeopen] memory.ram(2).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.
|
||||
[treeopen] memory.ram(2).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(1).
|
||||
[treeopen] memory.ram(2).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(1).ram8_i.
|
||||
[treeopen] memory.ram(2).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(1).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(2).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(3).
|
||||
[treeopen] memory.ram(2).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(3).ram8_i.
|
||||
[treeopen] memory.ram(2).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(3).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(2).ram4k_i.ram(2).ram512_i.ram(1).
|
||||
[treeopen] memory.ram(2).ram4k_i.ram(2).ram512_i.ram(1).ram64_i.
|
||||
[treeopen] memory.ram(2).ram4k_i.ram(2).ram512_i.ram(2).
|
||||
[treeopen] memory.ram(2).ram4k_i.ram(2).ram512_i.ram(2).ram64_i.
|
||||
[treeopen] memory.ram(3).
|
||||
[treeopen] memory.ram(3).ram4k_i.
|
||||
[treeopen] memory.ram(3).ram4k_i.ram(0).
|
||||
[treeopen] memory.ram(3).ram4k_i.ram(0).ram512_i.
|
||||
[treeopen] memory.ram(3).ram4k_i.ram(0).ram512_i.ram(0).
|
||||
[treeopen] memory.ram(3).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.
|
||||
[treeopen] memory.ram(3).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(3).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(3).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(3).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.
|
||||
[treeopen] memory.ram(3).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(1).
|
||||
[treeopen] memory.ram(3).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(1).ram8_i.
|
||||
[treeopen] memory.ram(3).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(1).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(3).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(1).ram8_i.reg(0).dregister_i.
|
||||
[treeopen] memory.ram(3).ram4k_i.ram(2).
|
||||
[treeopen] memory.ram(3).ram4k_i.ram(2).ram512_i.
|
||||
[treeopen] memory.ram(3).ram4k_i.ram(2).ram512_i.ram(0).
|
||||
[treeopen] memory.ram(3).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.
|
||||
[treeopen] memory.ram(3).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(3).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(3).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(3).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.
|
||||
[treeopen] memory.ram(4).
|
||||
[treeopen] memory.ram(4).ram4k_i.
|
||||
[treeopen] memory.ram(4).ram4k_i.ram(1).
|
||||
[treeopen] memory.ram(4).ram4k_i.ram(1).ram512_i.
|
||||
[treeopen] memory.ram(4).ram4k_i.ram(1).ram512_i.ram(7).
|
||||
[treeopen] memory.ram(4).ram4k_i.ram(1).ram512_i.ram(7).ram64_i.
|
||||
[treeopen] memory.ram(4).ram4k_i.ram(1).ram512_i.ram(7).ram64_i.ram(7).
|
||||
[treeopen] memory.ram(4).ram4k_i.ram(1).ram512_i.ram(7).ram64_i.ram(7).ram8_i.
|
||||
[treeopen] memory.ram(4).ram4k_i.ram(1).ram512_i.ram(7).ram64_i.ram(7).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(4).ram4k_i.ram(1).ram512_i.ram(7).ram64_i.ram(7).ram8_i.reg(0).dregister_i.
|
||||
[treeopen] memory.ram(4).ram4k_i.ram(2).
|
||||
[treeopen] memory.ram(4).ram4k_i.ram(2).ram512_i.
|
||||
[treeopen] memory.ram(4).ram4k_i.ram(2).ram512_i.ram(0).
|
||||
[treeopen] memory.ram(4).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.
|
||||
[treeopen] memory.ram(4).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(4).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(4).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(4).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.
|
||||
[treeopen] memory.ram(5).
|
||||
[treeopen] memory.ram(5).ram4k_i.
|
||||
[treeopen] memory.ram(5).ram4k_i.ram(2).
|
||||
[treeopen] memory.ram(5).ram4k_i.ram(2).ram512_i.
|
||||
[treeopen] memory.ram(5).ram4k_i.ram(2).ram512_i.ram(0).
|
||||
[treeopen] memory.ram(5).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.
|
||||
[treeopen] memory.ram(5).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(5).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(5).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(5).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.
|
||||
[treeopen] memory.ram(6).
|
||||
[treeopen] memory.ram(6).ram4k_i.
|
||||
[treeopen] memory.ram(6).ram4k_i.ram(0).
|
||||
[treeopen] memory.ram(6).ram4k_i.ram(0).ram512_i.
|
||||
[treeopen] memory.ram(6).ram4k_i.ram(0).ram512_i.ram(0).
|
||||
[treeopen] memory.ram(6).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.
|
||||
[treeopen] memory.ram(6).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(6).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(6).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(6).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(1).
|
||||
[treeopen] memory.ram(6).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(1).dregister_i.
|
||||
[treeopen] memory.ram(6).ram4k_i.ram(2).
|
||||
[treeopen] memory.ram(6).ram4k_i.ram(2).ram512_i.
|
||||
[treeopen] memory.ram(6).ram4k_i.ram(2).ram512_i.ram(0).
|
||||
[treeopen] memory.ram(6).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.
|
||||
[treeopen] memory.ram(6).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(6).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(6).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(6).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.
|
||||
[treeopen] memory.ram(7).
|
||||
[treeopen] memory.ram(7).ram4k_i.
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(0).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(0).ram512_i.
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(0).ram512_i.ram(0).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(1).ram8_i.
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(1).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(1).ram8_i.reg(0).dregister_i.reg(0).dbit_i.
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(0).ram512_i.ram(1).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(0).ram512_i.ram(1).ram64_i.
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(0).ram512_i.ram(1).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(0).ram512_i.ram(1).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(0).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(2).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(2).ram512_i.
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(2).ram512_i.ram(0).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(3).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(3).ram512_i.
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(3).ram512_i.ram(0).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(3).ram512_i.ram(0).ram64_i.
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(3).ram512_i.ram(0).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(3).ram512_i.ram(0).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(3).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(3).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.reg(0).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(3).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.reg(0).dbit_i.
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(4).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(4).ram512_i.
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(4).ram512_i.ram(0).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(4).ram512_i.ram(0).ram64_i.
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(4).ram512_i.ram(0).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(4).ram512_i.ram(0).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(4).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(5).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(5).ram512_i.
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(5).ram512_i.ram(0).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(5).ram512_i.ram(0).ram64_i.
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(5).ram512_i.ram(0).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(5).ram512_i.ram(0).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(5).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(6).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(6).ram512_i.
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(6).ram512_i.ram(0).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(6).ram512_i.ram(0).ram64_i.
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(6).ram512_i.ram(0).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(6).ram512_i.ram(0).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(6).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(7).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(7).ram512_i.
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(7).ram512_i.ram(0).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(7).ram512_i.ram(0).ram64_i.
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(7).ram512_i.ram(0).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(7).ram512_i.ram(0).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(7).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] osc_clk.
|
||||
[treeopen] the_cpu.cpu_alu.
|
||||
[treeopen] the_cpu.regd.
|
||||
[sst_width] 382
|
||||
[signals_width] 251
|
||||
[sst_expanded] 1
|
||||
[sst_vpaned_height] 787
|
||||
@29
|
||||
osc_clk.clk
|
||||
@800200
|
||||
-cpu
|
||||
-in
|
||||
@28
|
||||
the_cpu.instruction[15:0]
|
||||
@22
|
||||
the_cpu.instruction[15:0]
|
||||
the_cpu.inm[15:0]
|
||||
@28
|
||||
[color] 7
|
||||
the_cpu.reset
|
||||
@1000200
|
||||
-in
|
||||
@800200
|
||||
-out
|
||||
@22
|
||||
the_cpu.addressm[14:0]
|
||||
the_cpu.outm[15:0]
|
||||
the_cpu.pcout[14:0]
|
||||
@28
|
||||
the_cpu.writem
|
||||
@1000200
|
||||
-out
|
||||
@800200
|
||||
-Registers
|
||||
@200
|
||||
-Register A
|
||||
@28
|
||||
the_cpu.rega.load
|
||||
@22
|
||||
[color] 1
|
||||
the_cpu.rega.cout[15:0]
|
||||
@200
|
||||
-Register D
|
||||
@28
|
||||
the_cpu.regd.load
|
||||
@22
|
||||
[color] 1
|
||||
the_cpu.regd.cout[15:0]
|
||||
@1000200
|
||||
-Registers
|
||||
@800200
|
||||
-alu
|
||||
-in
|
||||
@22
|
||||
[color] 3
|
||||
the_cpu.cpu_alu.x[15:0]
|
||||
[color] 3
|
||||
the_cpu.cpu_alu.y[15:0]
|
||||
@28
|
||||
[color] 7
|
||||
the_cpu.cpu_alu.zx
|
||||
[color] 7
|
||||
the_cpu.cpu_alu.nx
|
||||
[color] 7
|
||||
the_cpu.cpu_alu.zy
|
||||
[color] 7
|
||||
the_cpu.cpu_alu.ny
|
||||
[color] 7
|
||||
the_cpu.cpu_alu.f
|
||||
[color] 7
|
||||
the_cpu.cpu_alu.no
|
||||
@1000200
|
||||
-in
|
||||
@800200
|
||||
-out
|
||||
@28
|
||||
[color] 6
|
||||
the_cpu.cpu_alu.zr
|
||||
[color] 6
|
||||
the_cpu.cpu_alu.ng
|
||||
@22
|
||||
[color] 3
|
||||
the_cpu.cpu_alu.cout[15:0]
|
||||
@1000200
|
||||
-out
|
||||
-alu
|
||||
-cpu
|
||||
@800200
|
||||
-RAM
|
||||
@22
|
||||
memory.ram(0).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(1).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(2).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(3).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(4).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(5).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(6).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(7).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(0).ram4k_i.ram(1).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(1).ram4k_i.ram(1).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(2).ram4k_i.ram(1).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(3).ram4k_i.ram(1).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(4).ram4k_i.ram(1).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(5).ram4k_i.ram(1).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(6).ram4k_i.ram(1).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(7).ram4k_i.ram(1).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(0).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(1).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(2).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(3).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(4).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(5).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(6).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(7).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(0).ram4k_i.ram(3).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(1).ram4k_i.ram(3).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(2).ram4k_i.ram(3).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(3).ram4k_i.ram(3).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(4).ram4k_i.ram(3).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(5).ram4k_i.ram(3).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(6).ram4k_i.ram(3).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(7).ram4k_i.ram(3).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(0).ram4k_i.ram(4).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(1).ram4k_i.ram(4).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(2).ram4k_i.ram(4).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(3).ram4k_i.ram(4).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(4).ram4k_i.ram(4).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(5).ram4k_i.ram(4).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(6).ram4k_i.ram(4).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(7).ram4k_i.ram(4).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(0).ram4k_i.ram(5).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(1).ram4k_i.ram(5).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(2).ram4k_i.ram(5).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(3).ram4k_i.ram(5).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(4).ram4k_i.ram(5).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(5).ram4k_i.ram(5).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(6).ram4k_i.ram(5).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(7).ram4k_i.ram(5).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(0).ram4k_i.ram(6).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(1).ram4k_i.ram(6).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(2).ram4k_i.ram(6).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(3).ram4k_i.ram(6).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(4).ram4k_i.ram(6).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(5).ram4k_i.ram(6).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(6).ram4k_i.ram(6).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(7).ram4k_i.ram(6).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(0).ram4k_i.ram(7).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(1).ram4k_i.ram(7).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(2).ram4k_i.ram(7).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(3).ram4k_i.ram(7).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(4).ram4k_i.ram(7).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(5).ram4k_i.ram(7).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(6).ram4k_i.ram(7).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(7).ram4k_i.ram(7).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(0).ram4k_i.ram(0).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(1).ram4k_i.ram(0).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(2).ram4k_i.ram(0).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(3).ram4k_i.ram(0).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(4).ram4k_i.ram(0).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(5).ram4k_i.ram(0).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(6).ram4k_i.ram(0).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(7).ram4k_i.ram(0).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(0).ram4k_i.ram(1).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(1).ram4k_i.ram(1).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(2).ram4k_i.ram(1).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(3).ram4k_i.ram(1).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(4).ram4k_i.ram(1).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(5).ram4k_i.ram(1).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(6).ram4k_i.ram(1).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(7).ram4k_i.ram(1).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(0).ram4k_i.ram(2).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(1).ram4k_i.ram(2).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(2).ram4k_i.ram(2).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(3).ram4k_i.ram(2).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(4).ram4k_i.ram(2).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(5).ram4k_i.ram(2).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(6).ram4k_i.ram(2).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(7).ram4k_i.ram(2).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(0).ram4k_i.ram(3).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(1).ram4k_i.ram(3).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(2).ram4k_i.ram(3).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(3).ram4k_i.ram(3).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(4).ram4k_i.ram(3).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(5).ram4k_i.ram(3).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(6).ram4k_i.ram(3).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(7).ram4k_i.ram(3).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(0).ram4k_i.ram(4).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(1).ram4k_i.ram(4).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(2).ram4k_i.ram(4).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(3).ram4k_i.ram(4).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(4).ram4k_i.ram(4).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(5).ram4k_i.ram(4).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(6).ram4k_i.ram(4).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(7).ram4k_i.ram(4).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(0).ram4k_i.ram(5).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(1).ram4k_i.ram(5).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(2).ram4k_i.ram(5).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(3).ram4k_i.ram(5).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(4).ram4k_i.ram(5).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(5).ram4k_i.ram(5).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(6).ram4k_i.ram(5).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(7).ram4k_i.ram(5).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(0).ram4k_i.ram(6).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(1).ram4k_i.ram(6).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(2).ram4k_i.ram(6).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(3).ram4k_i.ram(6).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(4).ram4k_i.ram(6).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(5).ram4k_i.ram(6).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(6).ram4k_i.ram(6).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(7).ram4k_i.ram(6).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(0).ram4k_i.ram(7).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(1).ram4k_i.ram(7).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(2).ram4k_i.ram(7).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(3).ram4k_i.ram(7).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(4).ram4k_i.ram(7).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(5).ram4k_i.ram(7).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(6).ram4k_i.ram(7).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(7).ram4k_i.ram(7).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(0).ram4k_i.ram(0).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(1).ram4k_i.ram(0).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(2).ram4k_i.ram(0).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(3).ram4k_i.ram(0).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(4).ram4k_i.ram(0).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(5).ram4k_i.ram(0).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(6).ram4k_i.ram(0).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(7).ram4k_i.ram(0).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(0).ram4k_i.ram(1).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(1).ram4k_i.ram(1).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(2).ram4k_i.ram(1).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(3).ram4k_i.ram(1).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(4).ram4k_i.ram(1).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(5).ram4k_i.ram(1).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(6).ram4k_i.ram(1).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(7).ram4k_i.ram(1).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(0).ram4k_i.ram(2).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(1).ram4k_i.ram(2).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(2).ram4k_i.ram(2).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(3).ram4k_i.ram(2).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(4).ram4k_i.ram(2).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(5).ram4k_i.ram(2).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(6).ram4k_i.ram(2).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(7).ram4k_i.ram(2).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(0).ram4k_i.ram(3).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(1).ram4k_i.ram(3).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(2).ram4k_i.ram(3).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(3).ram4k_i.ram(3).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(4).ram4k_i.ram(3).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(5).ram4k_i.ram(3).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(6).ram4k_i.ram(3).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(7).ram4k_i.ram(3).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(0).ram4k_i.ram(4).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(1).ram4k_i.ram(4).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(2).ram4k_i.ram(4).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(3).ram4k_i.ram(4).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(4).ram4k_i.ram(4).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(5).ram4k_i.ram(4).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(6).ram4k_i.ram(4).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(7).ram4k_i.ram(4).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(0).ram4k_i.ram(5).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(1).ram4k_i.ram(5).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(2).ram4k_i.ram(5).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(3).ram4k_i.ram(5).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(4).ram4k_i.ram(5).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(5).ram4k_i.ram(5).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(6).ram4k_i.ram(5).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(7).ram4k_i.ram(5).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(0).ram4k_i.ram(6).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(1).ram4k_i.ram(6).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(2).ram4k_i.ram(6).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(3).ram4k_i.ram(6).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(4).ram4k_i.ram(6).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(5).ram4k_i.ram(6).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(6).ram4k_i.ram(6).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(7).ram4k_i.ram(6).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(0).ram4k_i.ram(7).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(1).ram4k_i.ram(7).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(2).ram4k_i.ram(7).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(3).ram4k_i.ram(7).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(4).ram4k_i.ram(7).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(5).ram4k_i.ram(7).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(6).ram4k_i.ram(7).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(7).ram4k_i.ram(7).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(0).ram4k_i.ram(0).ram512_i.ram(3).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
@1000200
|
||||
-RAM
|
||||
[pattern_trace] 1
|
||||
[pattern_trace] 0
|
109
src/wave/gtkw/computer-simple.gtkw
Normal file
109
src/wave/gtkw/computer-simple.gtkw
Normal file
@ -0,0 +1,109 @@
|
||||
[*]
|
||||
[*] GTKWave Analyzer v3.3.64 (w)1999-2014 BSI
|
||||
[*] Wed Jun 3 08:25:01 2015
|
||||
[*]
|
||||
[dumpfile] "/home/collin/.code/my-projects/public/hack/src/wave/vcd/computer-simple.fst"
|
||||
[dumpfile_mtime] "Wed Jun 3 08:05:09 2015"
|
||||
[dumpfile_size] 13873157
|
||||
[savefile] "/home/collin/.code/my-projects/public/hack/src/wave/gtkw/computer-simple.gtkw"
|
||||
[timestart] 0
|
||||
[size] 1916 1196
|
||||
[pos] -1 -1
|
||||
*-25.193588 4730000 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1
|
||||
[treeopen] memory.ram(0).ram4k_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] the_cpu.
|
||||
[treeopen] the_cpu.cpu_alu.
|
||||
[treeopen] the_cpu.regd.
|
||||
[sst_width] 250
|
||||
[signals_width] 305
|
||||
[sst_expanded] 1
|
||||
[sst_vpaned_height] 349
|
||||
@28
|
||||
osc_clk.clk
|
||||
@800200
|
||||
-cpu
|
||||
-in
|
||||
@28
|
||||
the_cpu.instruction[15:0]
|
||||
@22
|
||||
the_cpu.instruction[15:0]
|
||||
the_cpu.inm[15:0]
|
||||
@28
|
||||
[color] 7
|
||||
the_cpu.reset
|
||||
@1000200
|
||||
-in
|
||||
@800200
|
||||
-out
|
||||
@22
|
||||
the_cpu.addressm[14:0]
|
||||
the_cpu.outm[15:0]
|
||||
the_cpu.pcout[14:0]
|
||||
@28
|
||||
the_cpu.writem
|
||||
@1000200
|
||||
-out
|
||||
@800201
|
||||
-alu
|
||||
-in
|
||||
@23
|
||||
[color] 3
|
||||
the_cpu.cpu_alu.x[15:0]
|
||||
[color] 3
|
||||
the_cpu.cpu_alu.y[15:0]
|
||||
@29
|
||||
[color] 7
|
||||
the_cpu.cpu_alu.zx
|
||||
[color] 7
|
||||
the_cpu.cpu_alu.nx
|
||||
[color] 7
|
||||
the_cpu.cpu_alu.zy
|
||||
[color] 7
|
||||
the_cpu.cpu_alu.ny
|
||||
[color] 7
|
||||
the_cpu.cpu_alu.f
|
||||
[color] 7
|
||||
the_cpu.cpu_alu.no
|
||||
@1000201
|
||||
-in
|
||||
@800201
|
||||
-out
|
||||
@29
|
||||
[color] 6
|
||||
the_cpu.cpu_alu.zr
|
||||
[color] 6
|
||||
the_cpu.cpu_alu.ng
|
||||
@23
|
||||
[color] 3
|
||||
the_cpu.cpu_alu.cout[15:0]
|
||||
@1000201
|
||||
-out
|
||||
-alu
|
||||
@800200
|
||||
-Registers
|
||||
@200
|
||||
-Register A
|
||||
@28
|
||||
the_cpu.rega.load
|
||||
@22
|
||||
[color] 1
|
||||
the_cpu.rega.cout[15:0]
|
||||
@200
|
||||
-Register D
|
||||
@28
|
||||
the_cpu.regd.load
|
||||
@22
|
||||
[color] 1
|
||||
the_cpu.regd.cout[15:0]
|
||||
@1000200
|
||||
-Registers
|
||||
-cpu
|
||||
[pattern_trace] 1
|
||||
[pattern_trace] 0
|
610
src/wave/gtkw/computer.gtkw
Normal file
610
src/wave/gtkw/computer.gtkw
Normal file
@ -0,0 +1,610 @@
|
||||
[*]
|
||||
[*] GTKWave Analyzer v3.3.64 (w)1999-2014 BSI
|
||||
[*] Fri Jun 5 21:34:20 2015
|
||||
[*]
|
||||
[dumpfile] "/home/collin/.code/my-projects/public/hack/src/wave/vcd/computer-memory-fill.fst"
|
||||
[dumpfile_mtime] "Tue Jun 2 05:34:23 2015"
|
||||
[dumpfile_size] 241501171
|
||||
[savefile] "/home/collin/.code/my-projects/public/hack/src/wave/gtkw/computer-memory-fill.gtkw"
|
||||
[timestart] 0
|
||||
[size] 1920 1200
|
||||
[pos] -1 -1
|
||||
*-28.662239 0 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1
|
||||
[treeopen] memory.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(1).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(1).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(1).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(1).ram8_i.reg(0).dregister_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(1).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(1).ram64_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(1).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(1).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(1).ram64_i.ram(1).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(1).ram64_i.ram(1).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(1).ram64_i.ram(2).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(1).ram64_i.ram(2).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(1).ram64_i.ram(2).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(1).ram64_i.ram(2).ram8_i.reg(0).dregister_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(2).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(2).ram64_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(2).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(2).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(3).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(3).ram64_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(3).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(3).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(3).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(4).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(4).ram64_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(4).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(4).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(0).ram512_i.ram(4).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(1).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(1).ram512_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(1).ram512_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(1).ram512_i.ram(0).ram64_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(1).ram512_i.ram(0).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(1).ram512_i.ram(0).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(1).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(1).ram512_i.ram(1).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(1).ram512_i.ram(1).ram64_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(1).ram512_i.ram(1).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(1).ram512_i.ram(1).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(1).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(1).ram512_i.ram(1).ram64_i.ram(1).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(1).ram512_i.ram(1).ram64_i.ram(1).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(1).ram512_i.ram(2).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(1).ram512_i.ram(2).ram64_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(1).ram512_i.ram(2).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(1).ram512_i.ram(2).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(1).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(1).ram512_i.ram(3).ram64_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(1).ram512_i.ram(3).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(1).ram512_i.ram(3).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(1).ram512_i.ram(3).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(2).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(2).ram512_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(2).ram512_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(1).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(1).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(2).ram512_i.ram(1).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(2).ram512_i.ram(1).ram64_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(2).ram512_i.ram(1).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(2).ram512_i.ram(1).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(2).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(2).ram512_i.ram(2).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(2).ram512_i.ram(2).ram64_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(2).ram512_i.ram(2).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(2).ram512_i.ram(2).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(2).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(2).ram512_i.ram(3).ram64_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(2).ram512_i.ram(3).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(2).ram512_i.ram(3).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(2).ram512_i.ram(3).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(3).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(3).ram512_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(3).ram512_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(3).ram512_i.ram(0).ram64_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(3).ram512_i.ram(0).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(3).ram512_i.ram(0).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(3).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(3).ram512_i.ram(0).ram64_i.ram(1).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(3).ram512_i.ram(0).ram64_i.ram(1).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(3).ram512_i.ram(1).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(3).ram512_i.ram(1).ram64_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(3).ram512_i.ram(1).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(3).ram512_i.ram(1).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(3).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(3).ram512_i.ram(2).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(3).ram512_i.ram(2).ram64_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(3).ram512_i.ram(2).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(3).ram512_i.ram(2).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(3).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(3).ram512_i.ram(2).ram64_i.ram(1).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(3).ram512_i.ram(2).ram64_i.ram(1).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(3).ram512_i.ram(3).ram64_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(3).ram512_i.ram(3).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(3).ram512_i.ram(3).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(4).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(4).ram512_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(4).ram512_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(4).ram512_i.ram(0).ram64_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(4).ram512_i.ram(0).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(4).ram512_i.ram(0).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(4).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(4).ram512_i.ram(0).ram64_i.ram(1).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(4).ram512_i.ram(0).ram64_i.ram(1).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(4).ram512_i.ram(0).ram64_i.ram(1).ram8_i.reg(0).dregister_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(4).ram512_i.ram(1).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(4).ram512_i.ram(1).ram64_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(4).ram512_i.ram(1).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(4).ram512_i.ram(1).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(4).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(4).ram512_i.ram(2).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(4).ram512_i.ram(2).ram64_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(4).ram512_i.ram(2).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(4).ram512_i.ram(2).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(4).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(4).ram512_i.ram(3).ram64_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(4).ram512_i.ram(3).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(4).ram512_i.ram(3).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(4).ram512_i.ram(3).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(4).ram512_i.ram(3).ram64_i.ram(0).ram8_i.reg(0).dregister_i.reg(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(5).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(5).ram512_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(5).ram512_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(5).ram512_i.ram(0).ram64_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(5).ram512_i.ram(0).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(5).ram512_i.ram(0).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(0).ram4k_i.ram(5).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(1).
|
||||
[treeopen] memory.ram(1).ram4k_i.
|
||||
[treeopen] memory.ram(1).ram4k_i.ram(0).
|
||||
[treeopen] memory.ram(1).ram4k_i.ram(0).ram512_i.
|
||||
[treeopen] memory.ram(1).ram4k_i.ram(0).ram512_i.ram(0).
|
||||
[treeopen] memory.ram(1).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.
|
||||
[treeopen] memory.ram(1).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(1).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(1).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(1).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.
|
||||
[treeopen] memory.ram(1).ram4k_i.ram(2).
|
||||
[treeopen] memory.ram(1).ram4k_i.ram(2).ram512_i.
|
||||
[treeopen] memory.ram(1).ram4k_i.ram(2).ram512_i.ram(0).
|
||||
[treeopen] memory.ram(1).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.
|
||||
[treeopen] memory.ram(1).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(1).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(1).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(1).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.
|
||||
[treeopen] memory.ram(2).
|
||||
[treeopen] memory.ram(2).ram4k_i.
|
||||
[treeopen] memory.ram(2).ram4k_i.ram(0).
|
||||
[treeopen] memory.ram(2).ram4k_i.ram(0).ram512_i.
|
||||
[treeopen] memory.ram(2).ram4k_i.ram(0).ram512_i.ram(0).
|
||||
[treeopen] memory.ram(2).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.
|
||||
[treeopen] memory.ram(2).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(2).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(2).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(2).ram4k_i.ram(2).
|
||||
[treeopen] memory.ram(2).ram4k_i.ram(2).ram512_i.
|
||||
[treeopen] memory.ram(2).ram4k_i.ram(2).ram512_i.ram(0).
|
||||
[treeopen] memory.ram(2).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.
|
||||
[treeopen] memory.ram(2).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(1).
|
||||
[treeopen] memory.ram(2).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(1).ram8_i.
|
||||
[treeopen] memory.ram(2).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(1).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(2).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(3).
|
||||
[treeopen] memory.ram(2).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(3).ram8_i.
|
||||
[treeopen] memory.ram(2).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(3).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(2).ram4k_i.ram(2).ram512_i.ram(1).
|
||||
[treeopen] memory.ram(2).ram4k_i.ram(2).ram512_i.ram(1).ram64_i.
|
||||
[treeopen] memory.ram(2).ram4k_i.ram(2).ram512_i.ram(2).
|
||||
[treeopen] memory.ram(2).ram4k_i.ram(2).ram512_i.ram(2).ram64_i.
|
||||
[treeopen] memory.ram(3).
|
||||
[treeopen] memory.ram(3).ram4k_i.
|
||||
[treeopen] memory.ram(3).ram4k_i.ram(0).
|
||||
[treeopen] memory.ram(3).ram4k_i.ram(0).ram512_i.
|
||||
[treeopen] memory.ram(3).ram4k_i.ram(0).ram512_i.ram(0).
|
||||
[treeopen] memory.ram(3).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.
|
||||
[treeopen] memory.ram(3).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(3).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(3).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(3).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.
|
||||
[treeopen] memory.ram(3).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(1).
|
||||
[treeopen] memory.ram(3).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(1).ram8_i.
|
||||
[treeopen] memory.ram(3).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(1).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(3).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(1).ram8_i.reg(0).dregister_i.
|
||||
[treeopen] memory.ram(3).ram4k_i.ram(2).
|
||||
[treeopen] memory.ram(3).ram4k_i.ram(2).ram512_i.
|
||||
[treeopen] memory.ram(3).ram4k_i.ram(2).ram512_i.ram(0).
|
||||
[treeopen] memory.ram(3).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.
|
||||
[treeopen] memory.ram(3).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(3).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(3).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(3).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.
|
||||
[treeopen] memory.ram(4).
|
||||
[treeopen] memory.ram(4).ram4k_i.
|
||||
[treeopen] memory.ram(4).ram4k_i.ram(1).
|
||||
[treeopen] memory.ram(4).ram4k_i.ram(1).ram512_i.
|
||||
[treeopen] memory.ram(4).ram4k_i.ram(1).ram512_i.ram(7).
|
||||
[treeopen] memory.ram(4).ram4k_i.ram(1).ram512_i.ram(7).ram64_i.
|
||||
[treeopen] memory.ram(4).ram4k_i.ram(1).ram512_i.ram(7).ram64_i.ram(7).
|
||||
[treeopen] memory.ram(4).ram4k_i.ram(1).ram512_i.ram(7).ram64_i.ram(7).ram8_i.
|
||||
[treeopen] memory.ram(4).ram4k_i.ram(1).ram512_i.ram(7).ram64_i.ram(7).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(4).ram4k_i.ram(1).ram512_i.ram(7).ram64_i.ram(7).ram8_i.reg(0).dregister_i.
|
||||
[treeopen] memory.ram(4).ram4k_i.ram(2).
|
||||
[treeopen] memory.ram(4).ram4k_i.ram(2).ram512_i.
|
||||
[treeopen] memory.ram(4).ram4k_i.ram(2).ram512_i.ram(0).
|
||||
[treeopen] memory.ram(4).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.
|
||||
[treeopen] memory.ram(4).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(4).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(4).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(4).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.
|
||||
[treeopen] memory.ram(5).
|
||||
[treeopen] memory.ram(5).ram4k_i.
|
||||
[treeopen] memory.ram(5).ram4k_i.ram(2).
|
||||
[treeopen] memory.ram(5).ram4k_i.ram(2).ram512_i.
|
||||
[treeopen] memory.ram(5).ram4k_i.ram(2).ram512_i.ram(0).
|
||||
[treeopen] memory.ram(5).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.
|
||||
[treeopen] memory.ram(5).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(5).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(5).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(5).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.
|
||||
[treeopen] memory.ram(6).
|
||||
[treeopen] memory.ram(6).ram4k_i.
|
||||
[treeopen] memory.ram(6).ram4k_i.ram(0).
|
||||
[treeopen] memory.ram(6).ram4k_i.ram(0).ram512_i.
|
||||
[treeopen] memory.ram(6).ram4k_i.ram(0).ram512_i.ram(0).
|
||||
[treeopen] memory.ram(6).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.
|
||||
[treeopen] memory.ram(6).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(6).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(6).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(6).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(1).
|
||||
[treeopen] memory.ram(6).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(1).dregister_i.
|
||||
[treeopen] memory.ram(6).ram4k_i.ram(2).
|
||||
[treeopen] memory.ram(6).ram4k_i.ram(2).ram512_i.
|
||||
[treeopen] memory.ram(6).ram4k_i.ram(2).ram512_i.ram(0).
|
||||
[treeopen] memory.ram(6).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.
|
||||
[treeopen] memory.ram(6).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(6).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(6).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(6).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.
|
||||
[treeopen] memory.ram(7).
|
||||
[treeopen] memory.ram(7).ram4k_i.
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(0).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(0).ram512_i.
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(0).ram512_i.ram(0).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(1).ram8_i.
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(1).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(1).ram8_i.reg(0).dregister_i.reg(0).dbit_i.
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(0).ram512_i.ram(1).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(0).ram512_i.ram(1).ram64_i.
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(0).ram512_i.ram(1).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(0).ram512_i.ram(1).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(0).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(2).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(2).ram512_i.
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(2).ram512_i.ram(0).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(3).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(3).ram512_i.
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(3).ram512_i.ram(0).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(3).ram512_i.ram(0).ram64_i.
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(3).ram512_i.ram(0).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(3).ram512_i.ram(0).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(3).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(3).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.reg(0).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(3).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.reg(0).dbit_i.
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(4).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(4).ram512_i.
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(4).ram512_i.ram(0).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(4).ram512_i.ram(0).ram64_i.
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(4).ram512_i.ram(0).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(4).ram512_i.ram(0).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(4).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(5).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(5).ram512_i.
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(5).ram512_i.ram(0).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(5).ram512_i.ram(0).ram64_i.
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(5).ram512_i.ram(0).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(5).ram512_i.ram(0).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(5).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(6).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(6).ram512_i.
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(6).ram512_i.ram(0).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(6).ram512_i.ram(0).ram64_i.
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(6).ram512_i.ram(0).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(6).ram512_i.ram(0).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(6).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(7).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(7).ram512_i.
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(7).ram512_i.ram(0).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(7).ram512_i.ram(0).ram64_i.
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(7).ram512_i.ram(0).ram64_i.ram(0).
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(7).ram512_i.ram(0).ram64_i.ram(0).ram8_i.
|
||||
[treeopen] memory.ram(7).ram4k_i.ram(7).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).
|
||||
[treeopen] osc_clk.
|
||||
[treeopen] the_cpu.cpu_alu.
|
||||
[treeopen] the_cpu.regd.
|
||||
[sst_width] 382
|
||||
[signals_width] 251
|
||||
[sst_expanded] 1
|
||||
[sst_vpaned_height] 787
|
||||
@29
|
||||
osc_clk.clk
|
||||
@800200
|
||||
-cpu
|
||||
-in
|
||||
@28
|
||||
the_cpu.instruction[15:0]
|
||||
@22
|
||||
the_cpu.instruction[15:0]
|
||||
the_cpu.inm[15:0]
|
||||
@28
|
||||
[color] 7
|
||||
the_cpu.reset
|
||||
@1000200
|
||||
-in
|
||||
@800200
|
||||
-out
|
||||
@22
|
||||
the_cpu.addressm[14:0]
|
||||
the_cpu.outm[15:0]
|
||||
the_cpu.pcout[14:0]
|
||||
@28
|
||||
the_cpu.writem
|
||||
@1000200
|
||||
-out
|
||||
@800200
|
||||
-Registers
|
||||
@200
|
||||
-Register A
|
||||
@28
|
||||
the_cpu.rega.load
|
||||
@22
|
||||
[color] 1
|
||||
the_cpu.rega.cout[15:0]
|
||||
@200
|
||||
-Register D
|
||||
@28
|
||||
the_cpu.regd.load
|
||||
@22
|
||||
[color] 1
|
||||
the_cpu.regd.cout[15:0]
|
||||
@1000200
|
||||
-Registers
|
||||
@800200
|
||||
-alu
|
||||
-in
|
||||
@22
|
||||
[color] 3
|
||||
the_cpu.cpu_alu.x[15:0]
|
||||
[color] 3
|
||||
the_cpu.cpu_alu.y[15:0]
|
||||
@28
|
||||
[color] 7
|
||||
the_cpu.cpu_alu.zx
|
||||
[color] 7
|
||||
the_cpu.cpu_alu.nx
|
||||
[color] 7
|
||||
the_cpu.cpu_alu.zy
|
||||
[color] 7
|
||||
the_cpu.cpu_alu.ny
|
||||
[color] 7
|
||||
the_cpu.cpu_alu.f
|
||||
[color] 7
|
||||
the_cpu.cpu_alu.no
|
||||
@1000200
|
||||
-in
|
||||
@800200
|
||||
-out
|
||||
@28
|
||||
[color] 6
|
||||
the_cpu.cpu_alu.zr
|
||||
[color] 6
|
||||
the_cpu.cpu_alu.ng
|
||||
@22
|
||||
[color] 3
|
||||
the_cpu.cpu_alu.cout[15:0]
|
||||
@1000200
|
||||
-out
|
||||
-alu
|
||||
-cpu
|
||||
@800200
|
||||
-RAM
|
||||
@22
|
||||
memory.ram(0).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(1).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(2).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(3).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(4).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(5).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(6).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(7).ram4k_i.ram(0).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(0).ram4k_i.ram(1).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(1).ram4k_i.ram(1).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(2).ram4k_i.ram(1).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(3).ram4k_i.ram(1).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(4).ram4k_i.ram(1).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(5).ram4k_i.ram(1).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(6).ram4k_i.ram(1).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(7).ram4k_i.ram(1).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(0).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(1).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(2).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(3).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(4).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(5).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(6).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(7).ram4k_i.ram(2).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(0).ram4k_i.ram(3).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(1).ram4k_i.ram(3).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(2).ram4k_i.ram(3).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(3).ram4k_i.ram(3).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(4).ram4k_i.ram(3).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(5).ram4k_i.ram(3).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(6).ram4k_i.ram(3).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(7).ram4k_i.ram(3).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(0).ram4k_i.ram(4).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(1).ram4k_i.ram(4).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(2).ram4k_i.ram(4).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(3).ram4k_i.ram(4).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(4).ram4k_i.ram(4).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(5).ram4k_i.ram(4).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(6).ram4k_i.ram(4).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(7).ram4k_i.ram(4).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(0).ram4k_i.ram(5).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(1).ram4k_i.ram(5).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(2).ram4k_i.ram(5).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(3).ram4k_i.ram(5).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(4).ram4k_i.ram(5).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(5).ram4k_i.ram(5).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(6).ram4k_i.ram(5).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(7).ram4k_i.ram(5).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(0).ram4k_i.ram(6).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(1).ram4k_i.ram(6).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(2).ram4k_i.ram(6).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(3).ram4k_i.ram(6).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(4).ram4k_i.ram(6).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(5).ram4k_i.ram(6).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(6).ram4k_i.ram(6).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(7).ram4k_i.ram(6).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(0).ram4k_i.ram(7).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(1).ram4k_i.ram(7).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(2).ram4k_i.ram(7).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(3).ram4k_i.ram(7).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(4).ram4k_i.ram(7).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(5).ram4k_i.ram(7).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(6).ram4k_i.ram(7).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(7).ram4k_i.ram(7).ram512_i.ram(0).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(0).ram4k_i.ram(0).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(1).ram4k_i.ram(0).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(2).ram4k_i.ram(0).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(3).ram4k_i.ram(0).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(4).ram4k_i.ram(0).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(5).ram4k_i.ram(0).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(6).ram4k_i.ram(0).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(7).ram4k_i.ram(0).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(0).ram4k_i.ram(1).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(1).ram4k_i.ram(1).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(2).ram4k_i.ram(1).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(3).ram4k_i.ram(1).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(4).ram4k_i.ram(1).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(5).ram4k_i.ram(1).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(6).ram4k_i.ram(1).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(7).ram4k_i.ram(1).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(0).ram4k_i.ram(2).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(1).ram4k_i.ram(2).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(2).ram4k_i.ram(2).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(3).ram4k_i.ram(2).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(4).ram4k_i.ram(2).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(5).ram4k_i.ram(2).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(6).ram4k_i.ram(2).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(7).ram4k_i.ram(2).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(0).ram4k_i.ram(3).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(1).ram4k_i.ram(3).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(2).ram4k_i.ram(3).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(3).ram4k_i.ram(3).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(4).ram4k_i.ram(3).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(5).ram4k_i.ram(3).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(6).ram4k_i.ram(3).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(7).ram4k_i.ram(3).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(0).ram4k_i.ram(4).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(1).ram4k_i.ram(4).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(2).ram4k_i.ram(4).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(3).ram4k_i.ram(4).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(4).ram4k_i.ram(4).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(5).ram4k_i.ram(4).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(6).ram4k_i.ram(4).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(7).ram4k_i.ram(4).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(0).ram4k_i.ram(5).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(1).ram4k_i.ram(5).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(2).ram4k_i.ram(5).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(3).ram4k_i.ram(5).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(4).ram4k_i.ram(5).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(5).ram4k_i.ram(5).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(6).ram4k_i.ram(5).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(7).ram4k_i.ram(5).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(0).ram4k_i.ram(6).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(1).ram4k_i.ram(6).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(2).ram4k_i.ram(6).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(3).ram4k_i.ram(6).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(4).ram4k_i.ram(6).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(5).ram4k_i.ram(6).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(6).ram4k_i.ram(6).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(7).ram4k_i.ram(6).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(0).ram4k_i.ram(7).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(1).ram4k_i.ram(7).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(2).ram4k_i.ram(7).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(3).ram4k_i.ram(7).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(4).ram4k_i.ram(7).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(5).ram4k_i.ram(7).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(6).ram4k_i.ram(7).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(7).ram4k_i.ram(7).ram512_i.ram(1).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(0).ram4k_i.ram(0).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(1).ram4k_i.ram(0).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(2).ram4k_i.ram(0).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(3).ram4k_i.ram(0).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(4).ram4k_i.ram(0).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(5).ram4k_i.ram(0).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(6).ram4k_i.ram(0).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(7).ram4k_i.ram(0).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(0).ram4k_i.ram(1).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(1).ram4k_i.ram(1).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(2).ram4k_i.ram(1).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(3).ram4k_i.ram(1).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(4).ram4k_i.ram(1).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(5).ram4k_i.ram(1).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(6).ram4k_i.ram(1).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(7).ram4k_i.ram(1).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(0).ram4k_i.ram(2).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(1).ram4k_i.ram(2).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(2).ram4k_i.ram(2).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(3).ram4k_i.ram(2).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(4).ram4k_i.ram(2).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(5).ram4k_i.ram(2).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(6).ram4k_i.ram(2).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(7).ram4k_i.ram(2).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(0).ram4k_i.ram(3).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(1).ram4k_i.ram(3).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(2).ram4k_i.ram(3).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(3).ram4k_i.ram(3).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(4).ram4k_i.ram(3).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(5).ram4k_i.ram(3).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(6).ram4k_i.ram(3).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(7).ram4k_i.ram(3).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(0).ram4k_i.ram(4).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(1).ram4k_i.ram(4).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(2).ram4k_i.ram(4).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(3).ram4k_i.ram(4).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(4).ram4k_i.ram(4).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(5).ram4k_i.ram(4).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(6).ram4k_i.ram(4).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(7).ram4k_i.ram(4).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(0).ram4k_i.ram(5).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(1).ram4k_i.ram(5).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(2).ram4k_i.ram(5).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(3).ram4k_i.ram(5).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(4).ram4k_i.ram(5).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(5).ram4k_i.ram(5).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(6).ram4k_i.ram(5).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(7).ram4k_i.ram(5).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(0).ram4k_i.ram(6).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(1).ram4k_i.ram(6).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(2).ram4k_i.ram(6).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(3).ram4k_i.ram(6).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(4).ram4k_i.ram(6).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(5).ram4k_i.ram(6).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(6).ram4k_i.ram(6).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(7).ram4k_i.ram(6).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(0).ram4k_i.ram(7).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(1).ram4k_i.ram(7).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(2).ram4k_i.ram(7).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(3).ram4k_i.ram(7).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(4).ram4k_i.ram(7).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(5).ram4k_i.ram(7).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(6).ram4k_i.ram(7).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(7).ram4k_i.ram(7).ram512_i.ram(2).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
memory.ram(0).ram4k_i.ram(0).ram512_i.ram(3).ram64_i.ram(0).ram8_i.reg(0).dregister_i.cout[15:0]
|
||||
@1000200
|
||||
-RAM
|
||||
[pattern_trace] 1
|
||||
[pattern_trace] 0
|
Loading…
Reference in New Issue
Block a user